########## Tcl recorder starts at 12/01/13 16:23:00 ########## set version "1.6" set proj_dir "C:/Users/Matze/Amiga/Hardwarehacks/68030-TK/Logic" cd $proj_dir # Get directory paths set pver $version regsub -all {\.} $pver {_} pver set lscfile "lsc_" append lscfile $pver ".ini" set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] set lsvini_path [file join $lsvini_dir $lscfile] if {[catch {set fid [open $lsvini_path]} msg]} { puts "File Open Error: $lsvini_path" return false } else {set data [read $fid]; close $fid } foreach line [split $data '\n'] { set lline [string tolower $line] set lline [string trim $lline] if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} if {$path && [regexp {^\[} $lline]} {set path 0; break} if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] regsub -all "\"" $cpld_bin "" cpld_bin set cpld_bin [file join $cpld_bin] set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] regsub -all "\"" $install_dir "" install_dir set install_dir [file join $install_dir] set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] regsub -all "\"" $fpga_dir "" fpga_dir set fpga_dir [file join $fpga_dir] set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] regsub -all "\"" $fpga_bin "" fpga_bin set fpga_bin [file join $fpga_bin] if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { set env(PATH) "$fpga_bin;$env(PATH)" } if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { set env(PATH) "$cpld_bin;$env(PATH)" } lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] package require runcmd # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:00 ########### ########## Tcl recorder starts at 12/01/13 16:23:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:45 ########### ########## Tcl recorder starts at 12/01/13 16:23:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:23:49 ########### ########## Tcl recorder starts at 12/01/13 16:51:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 16:51:33 ########### ########## Tcl recorder starts at 12/01/13 19:32:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 19:32:22 ########### ########## Tcl recorder starts at 12/01/13 21:16:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:16:53 ########### ########## Tcl recorder starts at 12/01/13 21:20:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:20:44 ########### ########## Tcl recorder starts at 12/01/13 21:21:10 ########## # Commands to make the Process: # Hierarchy Browser # - none - # Application to view the Process: # Hierarchy Browser if [runCmd "\"$cpld_bin/hierbro\" 68030_tk.jid BUS68030"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:10 ########### ########## Tcl recorder starts at 12/01/13 21:21:16 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:16 ########### ########## Tcl recorder starts at 12/01/13 21:21:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:50 ########### ########## Tcl recorder starts at 12/01/13 21:21:52 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:21:52 ########### ########## Tcl recorder starts at 12/01/13 21:22:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:22:46 ########### ########## Tcl recorder starts at 12/01/13 21:22:49 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:22:49 ########### ########## Tcl recorder starts at 12/01/13 21:23:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:23:14 ########### ########## Tcl recorder starts at 12/01/13 21:24:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:24:01 ########### ########## Tcl recorder starts at 12/01/13 21:24:06 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:24:06 ########### ########## Tcl recorder starts at 12/01/13 21:46:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:04 ########### ########## Tcl recorder starts at 12/01/13 21:46:08 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:08 ########### ########## Tcl recorder starts at 12/01/13 21:46:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:31 ########### ########## Tcl recorder starts at 12/01/13 21:46:33 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:46:33 ########### ########## Tcl recorder starts at 12/01/13 21:47:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:11 ########### ########## Tcl recorder starts at 12/01/13 21:47:14 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:14 ########### ########## Tcl recorder starts at 12/01/13 21:47:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:53 ########### ########## Tcl recorder starts at 12/01/13 21:47:54 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:47:54 ########### ########## Tcl recorder starts at 12/01/13 21:53:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:00 ########### ########## Tcl recorder starts at 12/01/13 21:53:13 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:13 ########### ########## Tcl recorder starts at 12/01/13 21:53:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:32 ########### ########## Tcl recorder starts at 12/01/13 21:53:34 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:53:34 ########### ########## Tcl recorder starts at 12/01/13 21:54:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:01 ########### ########## Tcl recorder starts at 12/01/13 21:54:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:13 ########### ########## Tcl recorder starts at 12/01/13 21:54:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:20 ########### ########## Tcl recorder starts at 12/01/13 21:54:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:54:28 ########### ########## Tcl recorder starts at 12/01/13 21:55:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:02 ########### ########## Tcl recorder starts at 12/01/13 21:55:04 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:04 ########### ########## Tcl recorder starts at 12/01/13 21:55:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:29 ########### ########## Tcl recorder starts at 12/01/13 21:55:31 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:31 ########### ########## Tcl recorder starts at 12/01/13 21:55:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:55:58 ########### ########## Tcl recorder starts at 12/01/13 21:56:09 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:09 ########### ########## Tcl recorder starts at 12/01/13 21:56:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:33 ########### ########## Tcl recorder starts at 12/01/13 21:56:34 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:34 ########### ########## Tcl recorder starts at 12/01/13 21:56:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:45 ########### ########## Tcl recorder starts at 12/01/13 21:56:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:47 ########### ########## Tcl recorder starts at 12/01/13 21:56:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:57 ########### ########## Tcl recorder starts at 12/01/13 21:56:58 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:56:58 ########### ########## Tcl recorder starts at 12/01/13 21:57:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:57:17 ########### ########## Tcl recorder starts at 12/01/13 21:57:23 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:57:23 ########### ########## Tcl recorder starts at 12/01/13 21:58:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 21:58:25 ########### ########## Tcl recorder starts at 12/01/13 22:00:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:00:13 ########### ########## Tcl recorder starts at 12/01/13 22:00:18 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:00:18 ########### ########## Tcl recorder starts at 12/01/13 22:01:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:01:40 ########### ########## Tcl recorder starts at 12/01/13 22:01:42 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:01:42 ########### ########## Tcl recorder starts at 12/01/13 22:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:04:57 ########### ########## Tcl recorder starts at 12/01/13 22:05:08 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:05:08 ########### ########## Tcl recorder starts at 12/01/13 22:28:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:28:14 ########### ########## Tcl recorder starts at 12/01/13 22:28:18 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:28:18 ########### ########## Tcl recorder starts at 12/01/13 22:29:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:32 ########### ########## Tcl recorder starts at 12/01/13 22:29:37 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:37 ########### ########## Tcl recorder starts at 12/01/13 22:29:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:42 ########### ########## Tcl recorder starts at 12/01/13 22:29:44 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:29:44 ########### ########## Tcl recorder starts at 12/01/13 22:30:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:09 ########### ########## Tcl recorder starts at 12/01/13 22:30:28 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:28 ########### ########## Tcl recorder starts at 12/01/13 22:30:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:40 ########### ########## Tcl recorder starts at 12/01/13 22:30:44 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/01/13 22:30:44 ########### ########## Tcl recorder starts at 04/24/14 11:43:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:43:55 ########### ########## Tcl recorder starts at 04/24/14 11:44:04 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:04 ########### ########## Tcl recorder starts at 04/24/14 11:44:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:28 ########### ########## Tcl recorder starts at 04/24/14 11:44:36 ########## # Commands to make the Process: # Compile EDIF File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:36 ########### ########## Tcl recorder starts at 04/24/14 11:44:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:44:59 ########### ########## Tcl recorder starts at 04/24/14 11:45:01 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:45:01 ########### ########## Tcl recorder starts at 04/24/14 11:46:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:14 ########### ########## Tcl recorder starts at 04/24/14 11:46:16 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:16 ########### ########## Tcl recorder starts at 04/24/14 11:46:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:34 ########### ########## Tcl recorder starts at 04/24/14 11:46:39 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:46:39 ########### ########## Tcl recorder starts at 04/24/14 11:47:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:03 ########### ########## Tcl recorder starts at 04/24/14 11:47:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:51 ########### ########## Tcl recorder starts at 04/24/14 11:47:57 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:47:57 ########### ########## Tcl recorder starts at 04/24/14 11:48:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:34 ########### ########## Tcl recorder starts at 04/24/14 11:48:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:44 ########### ########## Tcl recorder starts at 04/24/14 11:48:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:48:47 ########### ########## Tcl recorder starts at 04/24/14 11:50:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:50:38 ########### ########## Tcl recorder starts at 04/24/14 11:51:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:09 ########### ########## Tcl recorder starts at 04/24/14 11:51:12 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:12 ########### ########## Tcl recorder starts at 04/24/14 11:51:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:33 ########### ########## Tcl recorder starts at 04/24/14 11:51:37 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:51:37 ########### ########## Tcl recorder starts at 04/24/14 11:52:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:52:06 ########### ########## Tcl recorder starts at 04/24/14 11:52:10 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:52:10 ########### ########## Tcl recorder starts at 04/24/14 11:53:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:53:07 ########### ########## Tcl recorder starts at 04/24/14 11:53:09 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:53:09 ########### ########## Tcl recorder starts at 04/24/14 11:54:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:54:18 ########### ########## Tcl recorder starts at 04/24/14 11:54:21 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:54:21 ########### ########## Tcl recorder starts at 04/24/14 11:55:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:55:07 ########### ########## Tcl recorder starts at 04/24/14 11:55:12 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:55:12 ########### ########## Tcl recorder starts at 04/24/14 11:56:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:56:05 ########### ########## Tcl recorder starts at 04/24/14 11:56:15 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:56:15 ########### ########## Tcl recorder starts at 04/24/14 11:57:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:57:46 ########### ########## Tcl recorder starts at 04/24/14 11:57:47 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:57:48 ########### ########## Tcl recorder starts at 04/24/14 11:58:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:15 ########### ########## Tcl recorder starts at 04/24/14 11:58:20 ########## # Commands to make the Process: # Compiled Equations if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" BUS68030.bl0 -o BUS68030.eq0 -err automake.err"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:20 ########### ########## Tcl recorder starts at 04/24/14 11:58:32 ########## # Commands to make the Process: # Constraint Editor if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 11:58:32 ########### ########## Tcl recorder starts at 04/24/14 12:10:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:10:27 ########### ########## Tcl recorder starts at 04/24/14 12:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:10:54 ########### ########## Tcl recorder starts at 04/24/14 12:11:36 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:11:36 ########### ########## Tcl recorder starts at 04/24/14 12:12:16 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:12:16 ########### ########## Tcl recorder starts at 04/24/14 12:23:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:23:04 ########### ########## Tcl recorder starts at 04/24/14 12:24:53 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:24:53 ########### ########## Tcl recorder starts at 04/24/14 12:25:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:25:27 ########### ########## Tcl recorder starts at 04/24/14 12:25:29 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:25:29 ########### ########## Tcl recorder starts at 04/24/14 12:26:02 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:26:02 ########### ########## Tcl recorder starts at 04/24/14 12:27:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:27:03 ########### ########## Tcl recorder starts at 04/24/14 12:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:33:53 ########### ########## Tcl recorder starts at 04/24/14 12:33:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 12:33:54 ########### ########## Tcl recorder starts at 04/24/14 13:40:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:40:50 ########### ########## Tcl recorder starts at 04/24/14 13:40:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:40:54 ########### ########## Tcl recorder starts at 04/24/14 13:41:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:41:15 ########### ########## Tcl recorder starts at 04/24/14 13:41:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 13:41:17 ########### ########## Tcl recorder starts at 04/24/14 21:21:55 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:21:55 ########### ########## Tcl recorder starts at 04/24/14 21:24:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:24:17 ########### ########## Tcl recorder starts at 04/24/14 21:24:25 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:24:25 ########### ########## Tcl recorder starts at 04/24/14 21:26:44 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:26:44 ########### ########## Tcl recorder starts at 04/24/14 21:26:59 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:26:59 ########### ########## Tcl recorder starts at 04/24/14 21:27:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:27:27 ########### ########## Tcl recorder starts at 04/24/14 21:27:29 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:27:30 ########### ########## Tcl recorder starts at 04/24/14 21:31:37 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:31:37 ########### ########## Tcl recorder starts at 04/24/14 21:32:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:32:24 ########### ########## Tcl recorder starts at 04/24/14 21:32:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:32:28 ########### ########## Tcl recorder starts at 04/24/14 21:39:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:39:44 ########### ########## Tcl recorder starts at 04/24/14 21:39:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 21:39:49 ########### ########## Tcl recorder starts at 04/24/14 22:00:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:00:36 ########### ########## Tcl recorder starts at 04/24/14 22:01:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:01:45 ########### ########## Tcl recorder starts at 04/24/14 22:04:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:04:02 ########### ########## Tcl recorder starts at 04/24/14 22:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:04:15 ########### ########## Tcl recorder starts at 04/24/14 22:13:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:13:20 ########### ########## Tcl recorder starts at 04/24/14 22:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:13:59 ########### ########## Tcl recorder starts at 04/24/14 22:14:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:14:56 ########### ########## Tcl recorder starts at 04/24/14 22:15:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:15:03 ########### ########## Tcl recorder starts at 04/24/14 22:16:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:16:02 ########### ########## Tcl recorder starts at 04/24/14 22:16:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:16:04 ########### ########## Tcl recorder starts at 04/24/14 22:21:05 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:21:05 ########### ########## Tcl recorder starts at 04/24/14 22:23:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:23:04 ########### ########## Tcl recorder starts at 04/24/14 22:23:15 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:23:15 ########### ########## Tcl recorder starts at 04/24/14 22:25:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:11 ########### ########## Tcl recorder starts at 04/24/14 22:25:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:17 ########### ########## Tcl recorder starts at 04/24/14 22:25:30 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:25:30 ########### ########## Tcl recorder starts at 04/24/14 22:33:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:33:54 ########### ########## Tcl recorder starts at 04/24/14 22:34:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:34:14 ########### ########## Tcl recorder starts at 04/24/14 22:40:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:40:37 ########### ########## Tcl recorder starts at 04/24/14 22:40:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/24/14 22:40:53 ########### ########## Tcl recorder starts at 04/25/14 12:41:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:41:09 ########### ########## Tcl recorder starts at 04/25/14 12:41:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:41:12 ########### ########## Tcl recorder starts at 04/25/14 12:44:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:06 ########### ########## Tcl recorder starts at 04/25/14 12:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:41 ########### ########## Tcl recorder starts at 04/25/14 12:44:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 12:44:45 ########### ########## Tcl recorder starts at 04/25/14 13:52:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:52:48 ########### ########## Tcl recorder starts at 04/25/14 13:52:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:52:52 ########### ########## Tcl recorder starts at 04/25/14 13:58:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:58:53 ########### ########## Tcl recorder starts at 04/25/14 13:58:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 13:58:57 ########### ########## Tcl recorder starts at 04/25/14 14:02:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:02:00 ########### ########## Tcl recorder starts at 04/25/14 14:02:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:02:14 ########### ########## Tcl recorder starts at 04/25/14 14:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:04:57 ########### ########## Tcl recorder starts at 04/25/14 14:05:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:05:07 ########### ########## Tcl recorder starts at 04/25/14 14:07:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:07:49 ########### ########## Tcl recorder starts at 04/25/14 14:08:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:08:00 ########### ########## Tcl recorder starts at 04/25/14 14:09:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:09:45 ########### ########## Tcl recorder starts at 04/25/14 14:09:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:09:46 ########### ########## Tcl recorder starts at 04/25/14 14:11:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:11:34 ########### ########## Tcl recorder starts at 04/25/14 14:12:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:12:28 ########### ########## Tcl recorder starts at 04/25/14 14:12:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:12:53 ########### ########## Tcl recorder starts at 04/25/14 14:13:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:13:10 ########### ########## Tcl recorder starts at 04/25/14 14:13:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:13:12 ########### ########## Tcl recorder starts at 04/25/14 14:15:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:15:14 ########### ########## Tcl recorder starts at 04/25/14 14:15:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/25/14 14:15:18 ########### ########## Tcl recorder starts at 04/26/14 07:45:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 07:45:42 ########### ########## Tcl recorder starts at 04/26/14 07:45:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 07:45:49 ########### ########## Tcl recorder starts at 04/26/14 11:53:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:53:50 ########### ########## Tcl recorder starts at 04/26/14 11:53:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:53:57 ########### ########## Tcl recorder starts at 04/26/14 11:55:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:55:46 ########### ########## Tcl recorder starts at 04/26/14 11:55:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:55:50 ########### ########## Tcl recorder starts at 04/26/14 11:56:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:56:10 ########### ########## Tcl recorder starts at 04/26/14 11:56:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 11:56:13 ########### ########## Tcl recorder starts at 04/26/14 12:41:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:41:19 ########### ########## Tcl recorder starts at 04/26/14 12:42:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:42:00 ########### ########## Tcl recorder starts at 04/26/14 12:42:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:42:04 ########### ########## Tcl recorder starts at 04/26/14 12:48:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:48:01 ########### ########## Tcl recorder starts at 04/26/14 12:48:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:48:08 ########### ########## Tcl recorder starts at 04/26/14 12:52:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:52:06 ########### ########## Tcl recorder starts at 04/26/14 12:52:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:52:14 ########### ########## Tcl recorder starts at 04/26/14 12:55:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:55:57 ########### ########## Tcl recorder starts at 04/26/14 12:56:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:56:02 ########### ########## Tcl recorder starts at 04/26/14 12:58:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:58:49 ########### ########## Tcl recorder starts at 04/26/14 12:58:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 12:58:54 ########### ########## Tcl recorder starts at 04/26/14 13:00:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:00:37 ########### ########## Tcl recorder starts at 04/26/14 13:00:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:00:42 ########### ########## Tcl recorder starts at 04/26/14 13:05:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:05:43 ########### ########## Tcl recorder starts at 04/26/14 13:06:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:21 ########### ########## Tcl recorder starts at 04/26/14 13:06:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:34 ########### ########## Tcl recorder starts at 04/26/14 13:06:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:06:38 ########### ########## Tcl recorder starts at 04/26/14 13:10:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:10:34 ########### ########## Tcl recorder starts at 04/26/14 13:11:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:11:01 ########### ########## Tcl recorder starts at 04/26/14 13:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:11:08 ########### ########## Tcl recorder starts at 04/26/14 13:12:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:12:30 ########### ########## Tcl recorder starts at 04/26/14 13:12:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:12:33 ########### ########## Tcl recorder starts at 04/26/14 13:31:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:13 ########### ########## Tcl recorder starts at 04/26/14 13:31:23 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:23 ########### ########## Tcl recorder starts at 04/26/14 13:31:54 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:31:54 ########### ########## Tcl recorder starts at 04/26/14 13:38:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:38:00 ########### ########## Tcl recorder starts at 04/26/14 13:38:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:38:10 ########### ########## Tcl recorder starts at 04/26/14 13:40:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:40:23 ########### ########## Tcl recorder starts at 04/26/14 13:40:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:40:32 ########### ########## Tcl recorder starts at 04/26/14 13:46:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:46:08 ########### ########## Tcl recorder starts at 04/26/14 13:46:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:46:12 ########### ########## Tcl recorder starts at 04/26/14 13:48:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:48:41 ########### ########## Tcl recorder starts at 04/26/14 13:48:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:48:46 ########### ########## Tcl recorder starts at 04/26/14 13:49:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 13:49:55 ########### ########## Tcl recorder starts at 04/26/14 20:38:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:38:18 ########### ########## Tcl recorder starts at 04/26/14 20:38:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:38:29 ########### ########## Tcl recorder starts at 04/26/14 20:39:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:39:32 ########### ########## Tcl recorder starts at 04/26/14 20:39:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:39:37 ########### ########## Tcl recorder starts at 04/26/14 20:43:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:43:53 ########### ########## Tcl recorder starts at 04/26/14 20:59:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 20:59:57 ########### ########## Tcl recorder starts at 04/26/14 21:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:10:17 ########### ########## Tcl recorder starts at 04/26/14 21:18:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:00 ########### ########## Tcl recorder starts at 04/26/14 21:18:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:18 ########### ########## Tcl recorder starts at 04/26/14 21:18:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:22 ########### ########## Tcl recorder starts at 04/26/14 21:18:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:36 ########### ########## Tcl recorder starts at 04/26/14 21:18:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:18:38 ########### ########## Tcl recorder starts at 04/26/14 21:19:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:19:06 ########### ########## Tcl recorder starts at 04/26/14 21:19:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:19:09 ########### ########## Tcl recorder starts at 04/26/14 21:20:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:20:09 ########### ########## Tcl recorder starts at 04/26/14 21:20:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:20:20 ########### ########## Tcl recorder starts at 04/26/14 21:23:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:23:15 ########### ########## Tcl recorder starts at 04/26/14 21:24:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:09 ########### ########## Tcl recorder starts at 04/26/14 21:24:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:11 ########### ########## Tcl recorder starts at 04/26/14 21:24:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:24:51 ########### ########## Tcl recorder starts at 04/26/14 21:26:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:26:37 ########### ########## Tcl recorder starts at 04/26/14 21:26:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:26:43 ########### ########## Tcl recorder starts at 04/26/14 21:27:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:10 ########### ########## Tcl recorder starts at 04/26/14 21:27:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:12 ########### ########## Tcl recorder starts at 04/26/14 21:27:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:30 ########### ########## Tcl recorder starts at 04/26/14 21:27:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:27:32 ########### ########## Tcl recorder starts at 04/26/14 21:28:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:28:47 ########### ########## Tcl recorder starts at 04/26/14 21:28:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:28:54 ########### ########## Tcl recorder starts at 04/26/14 21:32:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:32:27 ########### ########## Tcl recorder starts at 04/26/14 21:34:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:34:08 ########### ########## Tcl recorder starts at 04/26/14 21:34:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:34:13 ########### ########## Tcl recorder starts at 04/26/14 21:43:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:43:16 ########### ########## Tcl recorder starts at 04/26/14 21:44:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:44:10 ########### ########## Tcl recorder starts at 04/26/14 21:44:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:44:12 ########### ########## Tcl recorder starts at 04/26/14 21:47:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:47:06 ########### ########## Tcl recorder starts at 04/26/14 21:48:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:48:56 ########### ########## Tcl recorder starts at 04/26/14 21:49:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:49:22 ########### ########## Tcl recorder starts at 04/26/14 21:50:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:50:59 ########### ########## Tcl recorder starts at 04/26/14 21:55:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:55:15 ########### ########## Tcl recorder starts at 04/26/14 21:57:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 21:57:40 ########### ########## Tcl recorder starts at 04/26/14 22:00:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:00:43 ########### ########## Tcl recorder starts at 04/26/14 22:01:52 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:01:52 ########### ########## Tcl recorder starts at 04/26/14 22:07:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:07:15 ########### ########## Tcl recorder starts at 04/26/14 22:08:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:08:40 ########### ########## Tcl recorder starts at 04/26/14 22:09:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:09:30 ########### ########## Tcl recorder starts at 04/26/14 22:09:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:09:34 ########### ########## Tcl recorder starts at 04/26/14 22:11:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:11:51 ########### ########## Tcl recorder starts at 04/26/14 22:13:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:04 ########### ########## Tcl recorder starts at 04/26/14 22:13:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:37 ########### ########## Tcl recorder starts at 04/26/14 22:13:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:13:39 ########### ########## Tcl recorder starts at 04/26/14 22:16:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:16:19 ########### ########## Tcl recorder starts at 04/26/14 22:16:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:16:23 ########### ########## Tcl recorder starts at 04/26/14 22:23:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:08 ########### ########## Tcl recorder starts at 04/26/14 22:23:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:13 ########### ########## Tcl recorder starts at 04/26/14 22:23:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:34 ########### ########## Tcl recorder starts at 04/26/14 22:23:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:23:35 ########### ########## Tcl recorder starts at 04/26/14 22:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:24:14 ########### ########## Tcl recorder starts at 04/26/14 22:26:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:02 ########### ########## Tcl recorder starts at 04/26/14 22:26:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:22 ########### ########## Tcl recorder starts at 04/26/14 22:26:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:26:25 ########### ########## Tcl recorder starts at 04/26/14 22:27:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:27:09 ########### ########## Tcl recorder starts at 04/26/14 22:27:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:27:16 ########### ########## Tcl recorder starts at 04/26/14 22:28:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:28:23 ########### ########## Tcl recorder starts at 04/26/14 22:28:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:28:29 ########### ########## Tcl recorder starts at 04/26/14 22:30:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:30:58 ########### ########## Tcl recorder starts at 04/26/14 22:31:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:31:05 ########### ########## Tcl recorder starts at 04/26/14 22:32:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:26 ########### ########## Tcl recorder starts at 04/26/14 22:32:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:28 ########### ########## Tcl recorder starts at 04/26/14 22:32:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:48 ########### ########## Tcl recorder starts at 04/26/14 22:32:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:32:49 ########### ########## Tcl recorder starts at 04/26/14 22:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:33:53 ########### ########## Tcl recorder starts at 04/26/14 22:33:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:33:57 ########### ########## Tcl recorder starts at 04/26/14 22:34:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:34:58 ########### ########## Tcl recorder starts at 04/26/14 22:35:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:35:00 ########### ########## Tcl recorder starts at 04/26/14 22:35:13 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:35:13 ########### ########## Tcl recorder starts at 04/26/14 22:36:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:36:58 ########### ########## Tcl recorder starts at 04/26/14 22:38:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:38:34 ########### ########## Tcl recorder starts at 04/26/14 22:44:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:44:12 ########### ########## Tcl recorder starts at 04/26/14 22:44:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:44:18 ########### ########## Tcl recorder starts at 04/26/14 22:50:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:27 ########### ########## Tcl recorder starts at 04/26/14 22:50:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:42 ########### ########## Tcl recorder starts at 04/26/14 22:50:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:50:51 ########### ########## Tcl recorder starts at 04/26/14 22:51:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:51:08 ########### ########## Tcl recorder starts at 04/26/14 22:51:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:51:09 ########### ########## Tcl recorder starts at 04/26/14 22:53:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:53:56 ########### ########## Tcl recorder starts at 04/26/14 22:54:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:54:00 ########### ########## Tcl recorder starts at 04/26/14 22:56:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 22:56:17 ########### ########## Tcl recorder starts at 04/26/14 23:03:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:34 ########### ########## Tcl recorder starts at 04/26/14 23:03:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:37 ########### ########## Tcl recorder starts at 04/26/14 23:03:55 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:03:56 ########### ########## Tcl recorder starts at 04/26/14 23:06:21 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:06:21 ########### ########## Tcl recorder starts at 04/26/14 23:08:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:08:15 ########### ########## Tcl recorder starts at 04/26/14 23:08:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:08:20 ########### ########## Tcl recorder starts at 04/26/14 23:11:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:11:17 ########### ########## Tcl recorder starts at 04/26/14 23:11:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:11:22 ########### ########## Tcl recorder starts at 04/26/14 23:12:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:12:28 ########### ########## Tcl recorder starts at 04/26/14 23:12:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:12:32 ########### ########## Tcl recorder starts at 04/26/14 23:18:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:18:41 ########### ########## Tcl recorder starts at 04/26/14 23:18:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:18:56 ########### ########## Tcl recorder starts at 04/26/14 23:19:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:19:16 ########### ########## Tcl recorder starts at 04/26/14 23:31:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:31:52 ########### ########## Tcl recorder starts at 04/26/14 23:31:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:31:56 ########### ########## Tcl recorder starts at 04/26/14 23:33:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:33:53 ########### ########## Tcl recorder starts at 04/26/14 23:34:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:34:17 ########### ########## Tcl recorder starts at 04/26/14 23:37:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:18 ########### ########## Tcl recorder starts at 04/26/14 23:37:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:21 ########### ########## Tcl recorder starts at 04/26/14 23:37:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:45 ########### ########## Tcl recorder starts at 04/26/14 23:37:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:37:47 ########### ########## Tcl recorder starts at 04/26/14 23:41:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:15 ########### ########## Tcl recorder starts at 04/26/14 23:41:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:18 ########### ########## Tcl recorder starts at 04/26/14 23:41:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:29 ########### ########## Tcl recorder starts at 04/26/14 23:41:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:31 ########### ########## Tcl recorder starts at 04/26/14 23:41:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:41:52 ########### ########## Tcl recorder starts at 04/26/14 23:42:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:12 ########### ########## Tcl recorder starts at 04/26/14 23:42:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:36 ########### ########## Tcl recorder starts at 04/26/14 23:42:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:40 ########### ########## Tcl recorder starts at 04/26/14 23:42:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:42:57 ########### ########## Tcl recorder starts at 04/26/14 23:43:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:02 ########### ########## Tcl recorder starts at 04/26/14 23:43:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:06 ########### ########## Tcl recorder starts at 04/26/14 23:43:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:24 ########### ########## Tcl recorder starts at 04/26/14 23:43:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:26 ########### ########## Tcl recorder starts at 04/26/14 23:43:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:39 ########### ########## Tcl recorder starts at 04/26/14 23:43:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:43:40 ########### ########## Tcl recorder starts at 04/26/14 23:44:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:44:20 ########### ########## Tcl recorder starts at 04/26/14 23:44:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:44:23 ########### ########## Tcl recorder starts at 04/26/14 23:45:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:45:22 ########### ########## Tcl recorder starts at 04/26/14 23:46:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:46:49 ########### ########## Tcl recorder starts at 04/26/14 23:46:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:46:57 ########### ########## Tcl recorder starts at 04/26/14 23:47:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:47:36 ########### ########## Tcl recorder starts at 04/26/14 23:47:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:47:39 ########### ########## Tcl recorder starts at 04/26/14 23:57:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:57:03 ########### ########## Tcl recorder starts at 04/26/14 23:57:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/26/14 23:57:10 ########### ########## Tcl recorder starts at 04/27/14 00:00:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:00:20 ########### ########## Tcl recorder starts at 04/27/14 00:02:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:02:43 ########### ########## Tcl recorder starts at 04/27/14 00:03:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:03:00 ########### ########## Tcl recorder starts at 04/27/14 00:07:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:07:29 ########### ########## Tcl recorder starts at 04/27/14 00:07:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:07:45 ########### ########## Tcl recorder starts at 04/27/14 00:08:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:03 ########### ########## Tcl recorder starts at 04/27/14 00:08:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:13 ########### ########## Tcl recorder starts at 04/27/14 00:08:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:08:17 ########### ########## Tcl recorder starts at 04/27/14 00:12:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:12:36 ########### ########## Tcl recorder starts at 04/27/14 00:12:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:12:57 ########### ########## Tcl recorder starts at 04/27/14 00:13:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:13:10 ########### ########## Tcl recorder starts at 04/27/14 00:13:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:13:11 ########### ########## Tcl recorder starts at 04/27/14 00:16:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:16:29 ########### ########## Tcl recorder starts at 04/27/14 00:18:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:18:33 ########### ########## Tcl recorder starts at 04/27/14 00:20:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:20:55 ########### ########## Tcl recorder starts at 04/27/14 00:21:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:21:02 ########### ########## Tcl recorder starts at 04/27/14 00:24:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:22 ########### ########## Tcl recorder starts at 04/27/14 00:24:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:29 ########### ########## Tcl recorder starts at 04/27/14 00:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:45 ########### ########## Tcl recorder starts at 04/27/14 00:24:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:24:46 ########### ########## Tcl recorder starts at 04/27/14 00:25:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:25:00 ########### ########## Tcl recorder starts at 04/27/14 00:25:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:25:01 ########### ########## Tcl recorder starts at 04/27/14 00:27:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:17 ########### ########## Tcl recorder starts at 04/27/14 00:27:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:25 ########### ########## Tcl recorder starts at 04/27/14 00:27:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:27:33 ########### ########## Tcl recorder starts at 04/27/14 00:30:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:30:14 ########### ########## Tcl recorder starts at 04/27/14 00:30:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:30:17 ########### ########## Tcl recorder starts at 04/27/14 00:33:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:33:12 ########### ########## Tcl recorder starts at 04/27/14 00:39:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:39:05 ########### ########## Tcl recorder starts at 04/27/14 00:39:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:39:42 ########### ########## Tcl recorder starts at 04/27/14 00:40:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:40:54 ########### ########## Tcl recorder starts at 04/27/14 00:41:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:41:19 ########### ########## Tcl recorder starts at 04/27/14 00:41:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:41:29 ########### ########## Tcl recorder starts at 04/27/14 00:42:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:42:10 ########### ########## Tcl recorder starts at 04/27/14 00:42:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:42:17 ########### ########## Tcl recorder starts at 04/27/14 00:45:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:45:10 ########### ########## Tcl recorder starts at 04/27/14 00:45:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:45:16 ########### ########## Tcl recorder starts at 04/27/14 00:46:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:46:12 ########### ########## Tcl recorder starts at 04/27/14 00:46:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:46:17 ########### ########## Tcl recorder starts at 04/27/14 00:53:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:53:39 ########### ########## Tcl recorder starts at 04/27/14 00:53:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:53:45 ########### ########## Tcl recorder starts at 04/27/14 00:57:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:57:38 ########### ########## Tcl recorder starts at 04/27/14 00:57:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:57:43 ########### ########## Tcl recorder starts at 04/27/14 00:58:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:58:41 ########### ########## Tcl recorder starts at 04/27/14 00:58:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 00:58:47 ########### ########## Tcl recorder starts at 04/27/14 01:00:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:00:17 ########### ########## Tcl recorder starts at 04/27/14 01:00:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:00:20 ########### ########## Tcl recorder starts at 04/27/14 01:01:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:01:17 ########### ########## Tcl recorder starts at 04/27/14 01:05:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:08 ########### ########## Tcl recorder starts at 04/27/14 01:05:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:30 ########### ########## Tcl recorder starts at 04/27/14 01:05:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:05:31 ########### ########## Tcl recorder starts at 04/27/14 01:06:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:06:06 ########### ########## Tcl recorder starts at 04/27/14 01:06:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:06:12 ########### ########## Tcl recorder starts at 04/27/14 01:08:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:17 ########### ########## Tcl recorder starts at 04/27/14 01:08:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:19 ########### ########## Tcl recorder starts at 04/27/14 01:08:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:08:55 ########### ########## Tcl recorder starts at 04/27/14 01:09:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:09:02 ########### ########## Tcl recorder starts at 04/27/14 01:10:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:10:46 ########### ########## Tcl recorder starts at 04/27/14 01:10:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:10:53 ########### ########## Tcl recorder starts at 04/27/14 01:13:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:13:41 ########### ########## Tcl recorder starts at 04/27/14 01:13:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:13:46 ########### ########## Tcl recorder starts at 04/27/14 01:16:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:16:48 ########### ########## Tcl recorder starts at 04/27/14 01:17:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:17:01 ########### ########## Tcl recorder starts at 04/27/14 01:19:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:19:18 ########### ########## Tcl recorder starts at 04/27/14 01:19:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:19:22 ########### ########## Tcl recorder starts at 04/27/14 01:20:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:20:31 ########### ########## Tcl recorder starts at 04/27/14 01:20:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:20:34 ########### ########## Tcl recorder starts at 04/27/14 01:25:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:02 ########### ########## Tcl recorder starts at 04/27/14 01:25:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:20 ########### ########## Tcl recorder starts at 04/27/14 01:25:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:31 ########### ########## Tcl recorder starts at 04/27/14 01:25:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 01:25:36 ########### ########## Tcl recorder starts at 04/27/14 07:58:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 07:58:36 ########### ########## Tcl recorder starts at 04/27/14 07:58:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 07:58:43 ########### ########## Tcl recorder starts at 04/27/14 10:05:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:05:51 ########### ########## Tcl recorder starts at 04/27/14 10:05:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:05:57 ########### ########## Tcl recorder starts at 04/27/14 10:06:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:06:09 ########### ########## Tcl recorder starts at 04/27/14 10:06:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:06:12 ########### ########## Tcl recorder starts at 04/27/14 10:07:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:07:45 ########### ########## Tcl recorder starts at 04/27/14 10:07:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:07:48 ########### ########## Tcl recorder starts at 04/27/14 10:08:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:08:19 ########### ########## Tcl recorder starts at 04/27/14 10:08:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:08:23 ########### ########## Tcl recorder starts at 04/27/14 10:09:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:09:39 ########### ########## Tcl recorder starts at 04/27/14 10:09:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:09:40 ########### ########## Tcl recorder starts at 04/27/14 10:10:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:10:42 ########### ########## Tcl recorder starts at 04/27/14 10:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:10:54 ########### ########## Tcl recorder starts at 04/27/14 10:11:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:03 ########### ########## Tcl recorder starts at 04/27/14 10:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:08 ########### ########## Tcl recorder starts at 04/27/14 10:11:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:44 ########### ########## Tcl recorder starts at 04/27/14 10:11:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:11:47 ########### ########## Tcl recorder starts at 04/27/14 10:12:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:33 ########### ########## Tcl recorder starts at 04/27/14 10:12:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:37 ########### ########## Tcl recorder starts at 04/27/14 10:12:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:12:39 ########### ########## Tcl recorder starts at 04/27/14 10:13:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:13:57 ########### ########## Tcl recorder starts at 04/27/14 10:13:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:13:59 ########### ########## Tcl recorder starts at 04/27/14 10:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:15:17 ########### ########## Tcl recorder starts at 04/27/14 10:15:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:15:22 ########### ########## Tcl recorder starts at 04/27/14 10:17:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:17:22 ########### ########## Tcl recorder starts at 04/27/14 10:17:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:17:42 ########### ########## Tcl recorder starts at 04/27/14 10:18:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:18:29 ########### ########## Tcl recorder starts at 04/27/14 10:18:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:18:36 ########### ########## Tcl recorder starts at 04/27/14 10:19:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:19:30 ########### ########## Tcl recorder starts at 04/27/14 10:19:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:19:33 ########### ########## Tcl recorder starts at 04/27/14 10:20:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:20:57 ########### ########## Tcl recorder starts at 04/27/14 10:21:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:21:13 ########### ########## Tcl recorder starts at 04/27/14 10:22:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:22:13 ########### ########## Tcl recorder starts at 04/27/14 10:22:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:22:18 ########### ########## Tcl recorder starts at 04/27/14 10:24:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:24:06 ########### ########## Tcl recorder starts at 04/27/14 10:25:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:08 ########### ########## Tcl recorder starts at 04/27/14 10:25:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:19 ########### ########## Tcl recorder starts at 04/27/14 10:25:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:25 ########### ########## Tcl recorder starts at 04/27/14 10:25:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:47 ########### ########## Tcl recorder starts at 04/27/14 10:25:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:25:51 ########### ########## Tcl recorder starts at 04/27/14 10:26:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:26:40 ########### ########## Tcl recorder starts at 04/27/14 10:27:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:27:47 ########### ########## Tcl recorder starts at 04/27/14 10:27:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:27:51 ########### ########## Tcl recorder starts at 04/27/14 10:29:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:29:37 ########### ########## Tcl recorder starts at 04/27/14 10:30:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:30:37 ########### ########## Tcl recorder starts at 04/27/14 10:31:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:22 ########### ########## Tcl recorder starts at 04/27/14 10:31:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:33 ########### ########## Tcl recorder starts at 04/27/14 10:31:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:31:49 ########### ########## Tcl recorder starts at 04/27/14 10:32:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:32:21 ########### ########## Tcl recorder starts at 04/27/14 10:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:32:23 ########### ########## Tcl recorder starts at 04/27/14 10:35:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:35:58 ########### ########## Tcl recorder starts at 04/27/14 10:36:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:36:12 ########### ########## Tcl recorder starts at 04/27/14 10:36:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:36:17 ########### ########## Tcl recorder starts at 04/27/14 10:37:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:37:28 ########### ########## Tcl recorder starts at 04/27/14 10:50:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:50:14 ########### ########## Tcl recorder starts at 04/27/14 10:50:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:50:55 ########### ########## Tcl recorder starts at 04/27/14 10:51:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 10:51:01 ########### ########## Tcl recorder starts at 04/27/14 11:03:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:03:54 ########### ########## Tcl recorder starts at 04/27/14 11:04:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:04:11 ########### ########## Tcl recorder starts at 04/27/14 11:09:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:09:27 ########### ########## Tcl recorder starts at 04/27/14 11:12:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:12:08 ########### ########## Tcl recorder starts at 04/27/14 11:12:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:12:25 ########### ########## Tcl recorder starts at 04/27/14 11:18:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:07 ########### ########## Tcl recorder starts at 04/27/14 11:18:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:33 ########### ########## Tcl recorder starts at 04/27/14 11:18:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:37 ########### ########## Tcl recorder starts at 04/27/14 11:18:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:18:42 ########### ########## Tcl recorder starts at 04/27/14 11:19:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:19:33 ########### ########## Tcl recorder starts at 04/27/14 11:27:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:27:36 ########### ########## Tcl recorder starts at 04/27/14 11:27:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:27:41 ########### ########## Tcl recorder starts at 04/27/14 11:30:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:30:44 ########### ########## Tcl recorder starts at 04/27/14 11:30:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:30:52 ########### ########## Tcl recorder starts at 04/27/14 11:31:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:31:49 ########### ########## Tcl recorder starts at 04/27/14 11:31:51 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:31:51 ########### ########## Tcl recorder starts at 04/27/14 11:32:28 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:32:28 ########### ########## Tcl recorder starts at 04/27/14 11:32:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:32:39 ########### ########## Tcl recorder starts at 04/27/14 11:35:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:35:01 ########### ########## Tcl recorder starts at 04/27/14 11:36:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:02 ########### ########## Tcl recorder starts at 04/27/14 11:36:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:07 ########### ########## Tcl recorder starts at 04/27/14 11:36:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:19 ########### ########## Tcl recorder starts at 04/27/14 11:36:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:36:20 ########### ########## Tcl recorder starts at 04/27/14 11:41:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:25 ########### ########## Tcl recorder starts at 04/27/14 11:41:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:27 ########### ########## Tcl recorder starts at 04/27/14 11:41:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:41:48 ########### ########## Tcl recorder starts at 04/27/14 11:43:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:43:20 ########### ########## Tcl recorder starts at 04/27/14 11:43:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:43:22 ########### ########## Tcl recorder starts at 04/27/14 11:44:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:08 ########### ########## Tcl recorder starts at 04/27/14 11:44:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:25 ########### ########## Tcl recorder starts at 04/27/14 11:44:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:32 ########### ########## Tcl recorder starts at 04/27/14 11:44:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:44:39 ########### ########## Tcl recorder starts at 04/27/14 11:47:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:08 ########### ########## Tcl recorder starts at 04/27/14 11:47:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:44 ########### ########## Tcl recorder starts at 04/27/14 11:47:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:47:47 ########### ########## Tcl recorder starts at 04/27/14 11:49:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:49:52 ########### ########## Tcl recorder starts at 04/27/14 11:50:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:10 ########### ########## Tcl recorder starts at 04/27/14 11:50:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:14 ########### ########## Tcl recorder starts at 04/27/14 11:50:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:34 ########### ########## Tcl recorder starts at 04/27/14 11:50:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 11:50:36 ########### ########## Tcl recorder starts at 04/27/14 16:50:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:50:08 ########### ########## Tcl recorder starts at 04/27/14 16:50:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:50:28 ########### ########## Tcl recorder starts at 04/27/14 16:52:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:18 ########### ########## Tcl recorder starts at 04/27/14 16:52:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:34 ########### ########## Tcl recorder starts at 04/27/14 16:52:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:52:40 ########### ########## Tcl recorder starts at 04/27/14 16:55:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:55:33 ########### ########## Tcl recorder starts at 04/27/14 16:56:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:56:18 ########### ########## Tcl recorder starts at 04/27/14 16:56:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:56:24 ########### ########## Tcl recorder starts at 04/27/14 16:57:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:57:35 ########### ########## Tcl recorder starts at 04/27/14 16:57:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 16:57:47 ########### ########## Tcl recorder starts at 04/27/14 18:01:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:01:32 ########### ########## Tcl recorder starts at 04/27/14 18:01:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:01:50 ########### ########## Tcl recorder starts at 04/27/14 18:02:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:05 ########### ########## Tcl recorder starts at 04/27/14 18:02:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:07 ########### ########## Tcl recorder starts at 04/27/14 18:02:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:02:36 ########### ########## Tcl recorder starts at 04/27/14 18:03:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:01 ########### ########## Tcl recorder starts at 04/27/14 18:03:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:46 ########### ########## Tcl recorder starts at 04/27/14 18:03:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:03:49 ########### ########## Tcl recorder starts at 04/27/14 18:04:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:04:33 ########### ########## Tcl recorder starts at 04/27/14 18:04:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:04:41 ########### ########## Tcl recorder starts at 04/27/14 18:14:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:14:07 ########### ########## Tcl recorder starts at 04/27/14 18:19:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:19:04 ########### ########## Tcl recorder starts at 04/27/14 18:19:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:19:24 ########### ########## Tcl recorder starts at 04/27/14 18:20:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:20:23 ########### ########## Tcl recorder starts at 04/27/14 18:20:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:20:27 ########### ########## Tcl recorder starts at 04/27/14 18:23:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:23:49 ########### ########## Tcl recorder starts at 04/27/14 18:24:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:24:11 ########### ########## Tcl recorder starts at 04/27/14 18:26:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:26:38 ########### ########## Tcl recorder starts at 04/27/14 18:27:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:27:12 ########### ########## Tcl recorder starts at 04/27/14 18:27:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:27:55 ########### ########## Tcl recorder starts at 04/27/14 18:29:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:29:34 ########### ########## Tcl recorder starts at 04/27/14 18:31:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:00 ########### ########## Tcl recorder starts at 04/27/14 18:31:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:04 ########### ########## Tcl recorder starts at 04/27/14 18:31:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:15 ########### ########## Tcl recorder starts at 04/27/14 18:31:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:17 ########### ########## Tcl recorder starts at 04/27/14 18:31:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:44 ########### ########## Tcl recorder starts at 04/27/14 18:31:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:31:53 ########### ########## Tcl recorder starts at 04/27/14 18:35:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:35:00 ########### ########## Tcl recorder starts at 04/27/14 18:35:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:35:03 ########### ########## Tcl recorder starts at 04/27/14 18:36:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:36:04 ########### ########## Tcl recorder starts at 04/27/14 18:36:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/27/14 18:36:08 ########### ########## Tcl recorder starts at 04/28/14 23:12:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:12:00 ########### ########## Tcl recorder starts at 04/28/14 23:12:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:12:11 ########### ########## Tcl recorder starts at 04/28/14 23:15:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:15:54 ########### ########## Tcl recorder starts at 04/28/14 23:15:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:15:56 ########### ########## Tcl recorder starts at 04/28/14 23:16:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:16:51 ########### ########## Tcl recorder starts at 04/28/14 23:16:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:16:52 ########### ########## Tcl recorder starts at 04/28/14 23:18:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:08 ########### ########## Tcl recorder starts at 04/28/14 23:18:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:11 ########### ########## Tcl recorder starts at 04/28/14 23:18:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:26 ########### ########## Tcl recorder starts at 04/28/14 23:18:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:18:29 ########### ########## Tcl recorder starts at 04/28/14 23:19:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:19:17 ########### ########## Tcl recorder starts at 04/28/14 23:19:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:19:21 ########### ########## Tcl recorder starts at 04/28/14 23:20:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:20:12 ########### ########## Tcl recorder starts at 04/28/14 23:20:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:20:14 ########### ########## Tcl recorder starts at 04/28/14 23:22:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:35 ########### ########## Tcl recorder starts at 04/28/14 23:22:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:39 ########### ########## Tcl recorder starts at 04/28/14 23:22:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:22:58 ########### ########## Tcl recorder starts at 04/28/14 23:23:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:31 ########### ########## Tcl recorder starts at 04/28/14 23:23:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:34 ########### ########## Tcl recorder starts at 04/28/14 23:23:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:48 ########### ########## Tcl recorder starts at 04/28/14 23:23:56 ########## # Commands to make the Process: # ISC-1532 File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:23:56 ########### ########## Tcl recorder starts at 04/28/14 23:26:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:06 ########### ########## Tcl recorder starts at 04/28/14 23:26:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:08 ########### ########## Tcl recorder starts at 04/28/14 23:26:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:27 ########### ########## Tcl recorder starts at 04/28/14 23:26:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:26:29 ########### ########## Tcl recorder starts at 04/28/14 23:27:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:27:55 ########### ########## Tcl recorder starts at 04/28/14 23:27:56 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:27:56 ########### ########## Tcl recorder starts at 04/28/14 23:33:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:33:32 ########### ########## Tcl recorder starts at 04/28/14 23:33:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:33:36 ########### ########## Tcl recorder starts at 04/28/14 23:34:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:34:58 ########### ########## Tcl recorder starts at 04/28/14 23:35:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:35:01 ########### ########## Tcl recorder starts at 04/28/14 23:40:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:40:57 ########### ########## Tcl recorder starts at 04/28/14 23:41:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/28/14 23:41:09 ########### ########## Tcl recorder starts at 04/29/14 08:22:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:22:01 ########### ########## Tcl recorder starts at 04/29/14 08:22:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:22:05 ########### ########## Tcl recorder starts at 04/29/14 08:24:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:24:46 ########### ########## Tcl recorder starts at 04/29/14 08:24:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 08:24:52 ########### ########## Tcl recorder starts at 04/29/14 18:14:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 18:14:54 ########### ########## Tcl recorder starts at 04/29/14 22:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:01:00 ########### ########## Tcl recorder starts at 04/29/14 22:01:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:01:06 ########### ########## Tcl recorder starts at 04/29/14 22:03:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:03:25 ########### ########## Tcl recorder starts at 04/29/14 22:03:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:03:30 ########### ########## Tcl recorder starts at 04/29/14 22:05:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:05:53 ########### ########## Tcl recorder starts at 04/29/14 22:07:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:07:50 ########### ########## Tcl recorder starts at 04/29/14 22:08:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:08:01 ########### ########## Tcl recorder starts at 04/29/14 22:09:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:09:01 ########### ########## Tcl recorder starts at 04/29/14 22:09:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:09:03 ########### ########## Tcl recorder starts at 04/29/14 22:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:10:17 ########### ########## Tcl recorder starts at 04/29/14 22:10:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:10:20 ########### ########## Tcl recorder starts at 04/29/14 22:12:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:02 ########### ########## Tcl recorder starts at 04/29/14 22:12:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:03 ########### ########## Tcl recorder starts at 04/29/14 22:12:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:12:37 ########### ########## Tcl recorder starts at 04/29/14 22:13:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:13:28 ########### ########## Tcl recorder starts at 04/29/14 22:13:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:13:34 ########### ########## Tcl recorder starts at 04/29/14 22:14:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:14:27 ########### ########## Tcl recorder starts at 04/29/14 22:14:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:14:29 ########### ########## Tcl recorder starts at 04/29/14 22:17:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:17:36 ########### ########## Tcl recorder starts at 04/29/14 22:20:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:20:19 ########### ########## Tcl recorder starts at 04/29/14 22:20:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:20:23 ########### ########## Tcl recorder starts at 04/29/14 22:21:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:21:04 ########### ########## Tcl recorder starts at 04/29/14 22:21:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:21:06 ########### ########## Tcl recorder starts at 04/29/14 22:22:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:22:07 ########### ########## Tcl recorder starts at 04/29/14 22:22:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:22:10 ########### ########## Tcl recorder starts at 04/29/14 22:24:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:24:05 ########### ########## Tcl recorder starts at 04/29/14 22:24:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:24:09 ########### ########## Tcl recorder starts at 04/29/14 22:29:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:29:44 ########### ########## Tcl recorder starts at 04/29/14 22:29:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/29/14 22:29:58 ########### ########## Tcl recorder starts at 04/30/14 16:53:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:53:57 ########### ########## Tcl recorder starts at 04/30/14 16:55:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:36 ########### ########## Tcl recorder starts at 04/30/14 16:55:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:40 ########### ########## Tcl recorder starts at 04/30/14 16:55:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:51 ########### ########## Tcl recorder starts at 04/30/14 16:55:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:55:53 ########### ########## Tcl recorder starts at 04/30/14 16:56:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:56:17 ########### ########## Tcl recorder starts at 04/30/14 16:56:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 16:56:22 ########### ########## Tcl recorder starts at 04/30/14 17:00:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:00:28 ########### ########## Tcl recorder starts at 04/30/14 17:00:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:00:35 ########### ########## Tcl recorder starts at 04/30/14 17:01:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:01:11 ########### ########## Tcl recorder starts at 04/30/14 17:01:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:01:16 ########### ########## Tcl recorder starts at 04/30/14 17:02:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:02:32 ########### ########## Tcl recorder starts at 04/30/14 17:04:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:04:57 ########### ########## Tcl recorder starts at 04/30/14 17:05:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:05:56 ########### ########## Tcl recorder starts at 04/30/14 17:05:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:05:58 ########### ########## Tcl recorder starts at 04/30/14 17:13:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:13:48 ########### ########## Tcl recorder starts at 04/30/14 17:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:13:59 ########### ########## Tcl recorder starts at 04/30/14 17:14:05 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:14:05 ########### ########## Tcl recorder starts at 04/30/14 17:14:33 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:14:33 ########### ########## Tcl recorder starts at 04/30/14 17:15:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:15:44 ########### ########## Tcl recorder starts at 04/30/14 17:15:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:15:53 ########### ########## Tcl recorder starts at 04/30/14 17:18:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:06 ########### ########## Tcl recorder starts at 04/30/14 17:18:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:15 ########### ########## Tcl recorder starts at 04/30/14 17:18:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:18:34 ########### ########## Tcl recorder starts at 04/30/14 17:21:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:21:37 ########### ########## Tcl recorder starts at 04/30/14 17:21:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:21:58 ########### ########## Tcl recorder starts at 04/30/14 17:22:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:22:05 ########### ########## Tcl recorder starts at 04/30/14 17:27:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:27:34 ########### ########## Tcl recorder starts at 04/30/14 17:29:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:29:17 ########### ########## Tcl recorder starts at 04/30/14 17:29:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:29:42 ########### ########## Tcl recorder starts at 04/30/14 17:30:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:30:12 ########### ########## Tcl recorder starts at 04/30/14 17:30:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:30:14 ########### ########## Tcl recorder starts at 04/30/14 17:33:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:33:24 ########### ########## Tcl recorder starts at 04/30/14 17:33:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:33:53 ########### ########## Tcl recorder starts at 04/30/14 17:35:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:35:56 ########### ########## Tcl recorder starts at 04/30/14 17:36:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:36:01 ########### ########## Tcl recorder starts at 04/30/14 17:45:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:45:07 ########### ########## Tcl recorder starts at 04/30/14 17:45:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:45:08 ########### ########## Tcl recorder starts at 04/30/14 17:47:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:47:13 ########### ########## Tcl recorder starts at 04/30/14 17:47:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:47:27 ########### ########## Tcl recorder starts at 04/30/14 17:49:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:49:45 ########### ########## Tcl recorder starts at 04/30/14 17:49:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 17:49:54 ########### ########## Tcl recorder starts at 04/30/14 18:19:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:19:33 ########### ########## Tcl recorder starts at 04/30/14 18:19:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:19:47 ########### ########## Tcl recorder starts at 04/30/14 18:20:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:05 ########### ########## Tcl recorder starts at 04/30/14 18:20:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:30 ########### ########## Tcl recorder starts at 04/30/14 18:20:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:20:35 ########### ########## Tcl recorder starts at 04/30/14 18:21:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:21:00 ########### ########## Tcl recorder starts at 04/30/14 18:21:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:21:06 ########### ########## Tcl recorder starts at 04/30/14 18:36:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:36:51 ########### ########## Tcl recorder starts at 04/30/14 18:37:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:37:43 ########### ########## Tcl recorder starts at 04/30/14 18:37:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:37:48 ########### ########## Tcl recorder starts at 04/30/14 18:43:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 18:43:08 ########### ########## Tcl recorder starts at 04/30/14 19:43:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:43:35 ########### ########## Tcl recorder starts at 04/30/14 19:44:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:44:08 ########### ########## Tcl recorder starts at 04/30/14 19:44:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 19:44:45 ########### ########## Tcl recorder starts at 04/30/14 20:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:10:17 ########### ########## Tcl recorder starts at 04/30/14 20:11:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:19 ########### ########## Tcl recorder starts at 04/30/14 20:11:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:25 ########### ########## Tcl recorder starts at 04/30/14 20:11:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:11:49 ########### ########## Tcl recorder starts at 04/30/14 20:13:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:13:35 ########### ########## Tcl recorder starts at 04/30/14 20:14:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:14:05 ########### ########## Tcl recorder starts at 04/30/14 20:15:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:15:07 ########### ########## Tcl recorder starts at 04/30/14 20:16:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:16:42 ########### ########## Tcl recorder starts at 04/30/14 20:31:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:31:34 ########### ########## Tcl recorder starts at 04/30/14 20:31:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:31:40 ########### ########## Tcl recorder starts at 04/30/14 20:37:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:37:11 ########### ########## Tcl recorder starts at 04/30/14 20:37:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:37:19 ########### ########## Tcl recorder starts at 04/30/14 20:41:34 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:41:34 ########### ########## Tcl recorder starts at 04/30/14 20:42:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:42:27 ########### ########## Tcl recorder starts at 04/30/14 20:43:51 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:43:51 ########### ########## Tcl recorder starts at 04/30/14 20:44:31 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:44:31 ########### ########## Tcl recorder starts at 04/30/14 20:46:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:46:21 ########### ########## Tcl recorder starts at 04/30/14 20:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:47:01 ########### ########## Tcl recorder starts at 04/30/14 20:47:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/30/14 20:47:07 ########### ########## Tcl recorder starts at 05/01/14 12:42:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:12 ########### ########## Tcl recorder starts at 05/01/14 12:42:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:12 ########### ########## Tcl recorder starts at 05/01/14 12:42:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:42:28 ########### ########## Tcl recorder starts at 05/01/14 12:43:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:43:42 ########### ########## Tcl recorder starts at 05/01/14 12:43:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:43:45 ########### ########## Tcl recorder starts at 05/01/14 12:49:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:49:37 ########### ########## Tcl recorder starts at 05/01/14 12:49:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:49:37 ########### ########## Tcl recorder starts at 05/01/14 12:50:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:50:54 ########### ########## Tcl recorder starts at 05/01/14 12:51:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:02 ########### ########## Tcl recorder starts at 05/01/14 12:51:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:51 ########### ########## Tcl recorder starts at 05/01/14 12:51:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:51:58 ########### ########## Tcl recorder starts at 05/01/14 12:52:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:52:10 ########### ########## Tcl recorder starts at 05/01/14 12:53:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:53:31 ########### ########## Tcl recorder starts at 05/01/14 12:53:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:53:54 ########### ########## Tcl recorder starts at 05/01/14 12:57:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:57:34 ########### ########## Tcl recorder starts at 05/01/14 12:58:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:58:01 ########### ########## Tcl recorder starts at 05/01/14 12:59:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:02 ########### ########## Tcl recorder starts at 05/01/14 12:59:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:26 ########### ########## Tcl recorder starts at 05/01/14 12:59:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 12:59:27 ########### ########## Tcl recorder starts at 05/01/14 13:00:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:00:39 ########### ########## Tcl recorder starts at 05/01/14 13:00:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:00:41 ########### ########## Tcl recorder starts at 05/01/14 13:02:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:02:12 ########### ########## Tcl recorder starts at 05/01/14 13:02:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:02:47 ########### ########## Tcl recorder starts at 05/01/14 13:09:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:09:39 ########### ########## Tcl recorder starts at 05/01/14 13:19:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:19:14 ########### ########## Tcl recorder starts at 05/01/14 13:19:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:19:15 ########### ########## Tcl recorder starts at 05/01/14 13:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:03 ########### ########## Tcl recorder starts at 05/01/14 13:20:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:05 ########### ########## Tcl recorder starts at 05/01/14 13:20:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:19 ########### ########## Tcl recorder starts at 05/01/14 13:20:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:21 ########### ########## Tcl recorder starts at 05/01/14 13:20:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:36 ########### ########## Tcl recorder starts at 05/01/14 13:20:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:38 ########### ########## Tcl recorder starts at 05/01/14 13:20:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:20:55 ########### ########## Tcl recorder starts at 05/01/14 13:21:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:00 ########### ########## Tcl recorder starts at 05/01/14 13:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:13 ########### ########## Tcl recorder starts at 05/01/14 13:21:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:15 ########### ########## Tcl recorder starts at 05/01/14 13:21:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:37 ########### ########## Tcl recorder starts at 05/01/14 13:21:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:21:40 ########### ########## Tcl recorder starts at 05/01/14 13:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:24:45 ########### ########## Tcl recorder starts at 05/01/14 13:24:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:24:51 ########### ########## Tcl recorder starts at 05/01/14 13:33:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:33:24 ########### ########## Tcl recorder starts at 05/01/14 13:33:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:33:26 ########### ########## Tcl recorder starts at 05/01/14 13:34:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:34:51 ########### ########## Tcl recorder starts at 05/01/14 13:34:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:34:55 ########### ########## Tcl recorder starts at 05/01/14 13:37:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:37:30 ########### ########## Tcl recorder starts at 05/01/14 13:37:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:37:55 ########### ########## Tcl recorder starts at 05/01/14 13:38:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:38:03 ########### ########## Tcl recorder starts at 05/01/14 13:41:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:41:40 ########### ########## Tcl recorder starts at 05/01/14 13:41:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:41:46 ########### ########## Tcl recorder starts at 05/01/14 13:42:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:42:55 ########### ########## Tcl recorder starts at 05/01/14 13:43:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:43:06 ########### ########## Tcl recorder starts at 05/01/14 13:43:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:43:14 ########### ########## Tcl recorder starts at 05/01/14 13:44:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:44:18 ########### ########## Tcl recorder starts at 05/01/14 13:44:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 13:44:20 ########### ########## Tcl recorder starts at 05/01/14 15:58:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 15:58:24 ########### ########## Tcl recorder starts at 05/01/14 15:58:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 15:58:35 ########### ########## Tcl recorder starts at 05/01/14 18:26:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 18:26:45 ########### ########## Tcl recorder starts at 05/01/14 19:03:56 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:03:56 ########### ########## Tcl recorder starts at 05/01/14 19:04:15 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:04:15 ########### ########## Tcl recorder starts at 05/01/14 19:05:16 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:05:16 ########### ########## Tcl recorder starts at 05/01/14 19:17:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:17:13 ########### ########## Tcl recorder starts at 05/01/14 19:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:20:03 ########### ########## Tcl recorder starts at 05/01/14 19:20:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:20:11 ########### ########## Tcl recorder starts at 05/01/14 19:29:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:29:33 ########### ########## Tcl recorder starts at 05/01/14 19:29:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:29:39 ########### ########## Tcl recorder starts at 05/01/14 19:31:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:31:08 ########### ########## Tcl recorder starts at 05/01/14 19:31:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:31:14 ########### ########## Tcl recorder starts at 05/01/14 19:35:28 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:35:28 ########### ########## Tcl recorder starts at 05/01/14 19:35:51 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:35:51 ########### ########## Tcl recorder starts at 05/01/14 19:37:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:37:41 ########### ########## Tcl recorder starts at 05/01/14 19:39:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:07 ########### ########## Tcl recorder starts at 05/01/14 19:39:10 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:10 ########### ########## Tcl recorder starts at 05/01/14 19:39:32 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:39:32 ########### ########## Tcl recorder starts at 05/01/14 19:41:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:41:56 ########### ########## Tcl recorder starts at 05/01/14 19:42:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:42:01 ########### ########## Tcl recorder starts at 05/01/14 19:50:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:50:02 ########### ########## Tcl recorder starts at 05/01/14 19:50:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:50:04 ########### ########## Tcl recorder starts at 05/01/14 19:51:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:06 ########### ########## Tcl recorder starts at 05/01/14 19:51:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:22 ########### ########## Tcl recorder starts at 05/01/14 19:51:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 19:51:24 ########### ########## Tcl recorder starts at 05/01/14 20:12:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:12:55 ########### ########## Tcl recorder starts at 05/01/14 20:12:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:12:55 ########### ########## Tcl recorder starts at 05/01/14 20:15:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:15:38 ########### ########## Tcl recorder starts at 05/01/14 20:15:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:15:58 ########### ########## Tcl recorder starts at 05/01/14 20:17:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:17:41 ########### ########## Tcl recorder starts at 05/01/14 20:17:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:17:44 ########### ########## Tcl recorder starts at 05/01/14 20:26:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:26:18 ########### ########## Tcl recorder starts at 05/01/14 20:27:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 20:27:08 ########### ########## Tcl recorder starts at 05/01/14 23:18:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:18:19 ########### ########## Tcl recorder starts at 05/01/14 23:18:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:18:27 ########### ########## Tcl recorder starts at 05/01/14 23:26:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/01/14 23:26:12 ########### ########## Tcl recorder starts at 05/02/14 11:10:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:10:40 ########### ########## Tcl recorder starts at 05/02/14 11:11:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:22 ########### ########## Tcl recorder starts at 05/02/14 11:11:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:44 ########### ########## Tcl recorder starts at 05/02/14 11:11:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:11:46 ########### ########## Tcl recorder starts at 05/02/14 11:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:01 ########### ########## Tcl recorder starts at 05/02/14 11:16:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:13 ########### ########## Tcl recorder starts at 05/02/14 11:16:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:16:21 ########### ########## Tcl recorder starts at 05/02/14 11:30:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:30:03 ########### ########## Tcl recorder starts at 05/02/14 11:30:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:30:03 ########### ########## Tcl recorder starts at 05/02/14 11:33:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:33:50 ########### ########## Tcl recorder starts at 05/02/14 11:33:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:33:55 ########### ########## Tcl recorder starts at 05/02/14 11:34:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:34:12 ########### ########## Tcl recorder starts at 05/02/14 11:34:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:34:50 ########### ########## Tcl recorder starts at 05/02/14 11:35:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:12 ########### ########## Tcl recorder starts at 05/02/14 11:35:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:24 ########### ########## Tcl recorder starts at 05/02/14 11:35:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:35:26 ########### ########## Tcl recorder starts at 05/02/14 11:36:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:36:29 ########### ########## Tcl recorder starts at 05/02/14 11:36:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:36:43 ########### ########## Tcl recorder starts at 05/02/14 11:39:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:39:45 ########### ########## Tcl recorder starts at 05/02/14 11:39:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:39:47 ########### ########## Tcl recorder starts at 05/02/14 11:40:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:40:02 ########### ########## Tcl recorder starts at 05/02/14 11:40:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:40:03 ########### ########## Tcl recorder starts at 05/02/14 11:43:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:43:02 ########### ########## Tcl recorder starts at 05/02/14 11:44:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:44:39 ########### ########## Tcl recorder starts at 05/02/14 11:44:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:44:43 ########### ########## Tcl recorder starts at 05/02/14 11:45:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:45:21 ########### ########## Tcl recorder starts at 05/02/14 11:45:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:45:26 ########### ########## Tcl recorder starts at 05/02/14 11:50:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:37 ########### ########## Tcl recorder starts at 05/02/14 11:50:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:52 ########### ########## Tcl recorder starts at 05/02/14 11:50:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:50:59 ########### ########## Tcl recorder starts at 05/02/14 11:53:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:53:41 ########### ########## Tcl recorder starts at 05/02/14 11:54:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:54:33 ########### ########## Tcl recorder starts at 05/02/14 11:54:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:54:43 ########### ########## Tcl recorder starts at 05/02/14 11:55:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:55:03 ########### ########## Tcl recorder starts at 05/02/14 11:57:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:57:07 ########### ########## Tcl recorder starts at 05/02/14 11:57:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 11:57:16 ########### ########## Tcl recorder starts at 05/02/14 12:12:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:12:11 ########### ########## Tcl recorder starts at 05/02/14 12:12:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:12:13 ########### ########## Tcl recorder starts at 05/02/14 12:16:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:16:17 ########### ########## Tcl recorder starts at 05/02/14 12:16:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:16:59 ########### ########## Tcl recorder starts at 05/02/14 12:17:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:17:35 ########### ########## Tcl recorder starts at 05/02/14 12:20:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:20:50 ########### ########## Tcl recorder starts at 05/02/14 12:22:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:22:53 ########### ########## Tcl recorder starts at 05/02/14 12:25:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:25:26 ########### ########## Tcl recorder starts at 05/02/14 12:25:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:25:26 ########### ########## Tcl recorder starts at 05/02/14 12:26:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:26:11 ########### ########## Tcl recorder starts at 05/02/14 12:26:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:26:21 ########### ########## Tcl recorder starts at 05/02/14 12:32:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:32:54 ########### ########## Tcl recorder starts at 05/02/14 12:32:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:32:59 ########### ########## Tcl recorder starts at 05/02/14 12:40:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:40:45 ########### ########## Tcl recorder starts at 05/02/14 12:41:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:41:14 ########### ########## Tcl recorder starts at 05/02/14 12:49:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:49:31 ########### ########## Tcl recorder starts at 05/02/14 12:51:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:51:29 ########### ########## Tcl recorder starts at 05/02/14 12:51:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 12:51:42 ########### ########## Tcl recorder starts at 05/02/14 16:16:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:06 ########### ########## Tcl recorder starts at 05/02/14 16:16:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:46 ########### ########## Tcl recorder starts at 05/02/14 16:16:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:16:51 ########### ########## Tcl recorder starts at 05/02/14 16:17:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:17:27 ########### ########## Tcl recorder starts at 05/02/14 16:17:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:17:28 ########### ########## Tcl recorder starts at 05/02/14 16:22:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:22:43 ########### ########## Tcl recorder starts at 05/02/14 16:22:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:22:49 ########### ########## Tcl recorder starts at 05/02/14 16:25:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:25:10 ########### ########## Tcl recorder starts at 05/02/14 16:25:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:25:14 ########### ########## Tcl recorder starts at 05/02/14 16:26:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:26:25 ########### ########## Tcl recorder starts at 05/02/14 16:26:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 16:26:44 ########### ########## Tcl recorder starts at 05/02/14 19:08:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:08:32 ########### ########## Tcl recorder starts at 05/02/14 19:08:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:08:39 ########### ########## Tcl recorder starts at 05/02/14 19:09:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:09:36 ########### ########## Tcl recorder starts at 05/02/14 19:09:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:09:39 ########### ########## Tcl recorder starts at 05/02/14 19:10:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:10:17 ########### ########## Tcl recorder starts at 05/02/14 19:10:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:10:22 ########### ########## Tcl recorder starts at 05/02/14 19:11:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:11:13 ########### ########## Tcl recorder starts at 05/02/14 19:11:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:11:16 ########### ########## Tcl recorder starts at 05/02/14 19:14:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:14:31 ########### ########## Tcl recorder starts at 05/02/14 19:14:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:14:36 ########### ########## Tcl recorder starts at 05/02/14 19:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:01 ########### ########## Tcl recorder starts at 05/02/14 19:16:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:39 ########### ########## Tcl recorder starts at 05/02/14 19:16:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:16:44 ########### ########## Tcl recorder starts at 05/02/14 19:17:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:17:37 ########### ########## Tcl recorder starts at 05/02/14 19:17:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/02/14 19:17:42 ########### ########## Tcl recorder starts at 05/03/14 11:10:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:10:31 ########### ########## Tcl recorder starts at 05/03/14 11:10:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:10:39 ########### ########## Tcl recorder starts at 05/03/14 11:12:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:12:56 ########### ########## Tcl recorder starts at 05/03/14 11:13:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:13:13 ########### ########## Tcl recorder starts at 05/03/14 11:14:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:23 ########### ########## Tcl recorder starts at 05/03/14 11:14:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:41 ########### ########## Tcl recorder starts at 05/03/14 11:14:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:14:48 ########### ########## Tcl recorder starts at 05/03/14 11:15:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:15:46 ########### ########## Tcl recorder starts at 05/03/14 11:15:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:15:51 ########### ########## Tcl recorder starts at 05/03/14 11:16:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:16:26 ########### ########## Tcl recorder starts at 05/03/14 11:16:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:16:28 ########### ########## Tcl recorder starts at 05/03/14 11:17:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:17:20 ########### ########## Tcl recorder starts at 05/03/14 11:17:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:17:23 ########### ########## Tcl recorder starts at 05/03/14 11:33:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 11:33:05 ########### ########## Tcl recorder starts at 05/03/14 20:54:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 20:54:38 ########### ########## Tcl recorder starts at 05/03/14 20:55:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 20:55:33 ########### ########## Tcl recorder starts at 05/03/14 21:02:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:02:00 ########### ########## Tcl recorder starts at 05/03/14 21:02:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:02:02 ########### ########## Tcl recorder starts at 05/03/14 21:59:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:59:42 ########### ########## Tcl recorder starts at 05/03/14 21:59:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 21:59:53 ########### ########## Tcl recorder starts at 05/03/14 22:02:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:32 ########### ########## Tcl recorder starts at 05/03/14 22:02:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:40 ########### ########## Tcl recorder starts at 05/03/14 22:02:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:02:45 ########### ########## Tcl recorder starts at 05/03/14 22:03:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:03:51 ########### ########## Tcl recorder starts at 05/03/14 22:04:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:04:05 ########### ########## Tcl recorder starts at 05/03/14 22:04:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/03/14 22:04:16 ########### ########## Tcl recorder starts at 05/04/14 10:18:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:18:22 ########### ########## Tcl recorder starts at 05/04/14 10:20:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:20:27 ########### ########## Tcl recorder starts at 05/04/14 10:40:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:40:08 ########### ########## Tcl recorder starts at 05/04/14 10:41:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:01 ########### ########## Tcl recorder starts at 05/04/14 10:41:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:20 ########### ########## Tcl recorder starts at 05/04/14 10:41:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:27 ########### ########## Tcl recorder starts at 05/04/14 10:41:41 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:41:41 ########### ########## Tcl recorder starts at 05/04/14 10:54:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:54:32 ########### ########## Tcl recorder starts at 05/04/14 10:55:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 10:55:20 ########### ########## Tcl recorder starts at 05/04/14 11:02:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:02:42 ########### ########## Tcl recorder starts at 05/04/14 11:02:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:02:47 ########### ########## Tcl recorder starts at 05/04/14 11:03:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:03:42 ########### ########## Tcl recorder starts at 05/04/14 11:03:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:03:47 ########### ########## Tcl recorder starts at 05/04/14 11:04:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:04:54 ########### ########## Tcl recorder starts at 05/04/14 11:05:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:05:05 ########### ########## Tcl recorder starts at 05/04/14 11:05:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:05:09 ########### ########## Tcl recorder starts at 05/04/14 11:06:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:06:04 ########### ########## Tcl recorder starts at 05/04/14 11:06:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:06:05 ########### ########## Tcl recorder starts at 05/04/14 11:07:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:07:04 ########### ########## Tcl recorder starts at 05/04/14 11:07:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:07:09 ########### ########## Tcl recorder starts at 05/04/14 11:12:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:12:55 ########### ########## Tcl recorder starts at 05/04/14 11:13:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:13:02 ########### ########## Tcl recorder starts at 05/04/14 11:14:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:14:14 ########### ########## Tcl recorder starts at 05/04/14 11:14:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:14:16 ########### ########## Tcl recorder starts at 05/04/14 11:20:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:20:03 ########### ########## Tcl recorder starts at 05/04/14 11:20:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:20:07 ########### ########## Tcl recorder starts at 05/04/14 11:21:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:21:03 ########### ########## Tcl recorder starts at 05/04/14 11:21:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:21:05 ########### ########## Tcl recorder starts at 05/04/14 11:22:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:22:09 ########### ########## Tcl recorder starts at 05/04/14 11:22:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:22:11 ########### ########## Tcl recorder starts at 05/04/14 11:54:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:54:35 ########### ########## Tcl recorder starts at 05/04/14 11:54:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:54:48 ########### ########## Tcl recorder starts at 05/04/14 11:59:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:59:33 ########### ########## Tcl recorder starts at 05/04/14 11:59:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 11:59:38 ########### ########## Tcl recorder starts at 05/04/14 12:00:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:00:33 ########### ########## Tcl recorder starts at 05/04/14 12:00:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:00:37 ########### ########## Tcl recorder starts at 05/04/14 12:08:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:32 ########### ########## Tcl recorder starts at 05/04/14 12:08:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:46 ########### ########## Tcl recorder starts at 05/04/14 12:08:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:08:50 ########### ########## Tcl recorder starts at 05/04/14 12:10:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:10:36 ########### ########## Tcl recorder starts at 05/04/14 12:10:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:10:36 ########### ########## Tcl recorder starts at 05/04/14 12:11:41 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:11:41 ########### ########## Tcl recorder starts at 05/04/14 12:13:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:13:38 ########### ########## Tcl recorder starts at 05/04/14 12:14:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:14:35 ########### ########## Tcl recorder starts at 05/04/14 12:14:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:14:37 ########### ########## Tcl recorder starts at 05/04/14 12:16:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:16:49 ########### ########## Tcl recorder starts at 05/04/14 12:16:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:16:49 ########### ########## Tcl recorder starts at 05/04/14 12:18:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:18:18 ########### ########## Tcl recorder starts at 05/04/14 12:18:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/04/14 12:18:21 ########### ########## Tcl recorder starts at 05/07/14 13:54:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:54:08 ########### ########## Tcl recorder starts at 05/07/14 13:54:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:54:15 ########### ########## Tcl recorder starts at 05/07/14 13:55:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:55:30 ########### ########## Tcl recorder starts at 05/07/14 13:55:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 13:55:36 ########### ########## Tcl recorder starts at 05/07/14 14:00:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:00:00 ########### ########## Tcl recorder starts at 05/07/14 14:00:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:00:04 ########### ########## Tcl recorder starts at 05/07/14 14:01:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:01:11 ########### ########## Tcl recorder starts at 05/07/14 14:01:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:01:24 ########### ########## Tcl recorder starts at 05/07/14 14:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:09:51 ########### ########## Tcl recorder starts at 05/07/14 14:09:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:09:59 ########### ########## Tcl recorder starts at 05/07/14 14:10:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:10:36 ########### ########## Tcl recorder starts at 05/07/14 14:10:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:10:43 ########### ########## Tcl recorder starts at 05/07/14 14:32:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:32:39 ########### ########## Tcl recorder starts at 05/07/14 14:33:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:33:02 ########### ########## Tcl recorder starts at 05/07/14 14:34:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:34:35 ########### ########## Tcl recorder starts at 05/07/14 14:34:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:34:39 ########### ########## Tcl recorder starts at 05/07/14 14:36:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:36:35 ########### ########## Tcl recorder starts at 05/07/14 14:36:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:36:37 ########### ########## Tcl recorder starts at 05/07/14 14:38:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:38:38 ########### ########## Tcl recorder starts at 05/07/14 14:38:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:38:46 ########### ########## Tcl recorder starts at 05/07/14 14:39:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:01 ########### ########## Tcl recorder starts at 05/07/14 14:39:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:03 ########### ########## Tcl recorder starts at 05/07/14 14:39:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:42 ########### ########## Tcl recorder starts at 05/07/14 14:39:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:39:44 ########### ########## Tcl recorder starts at 05/07/14 14:40:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:40:30 ########### ########## Tcl recorder starts at 05/07/14 14:40:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:40:31 ########### ########## Tcl recorder starts at 05/07/14 14:41:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:41:16 ########### ########## Tcl recorder starts at 05/07/14 14:41:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:41:18 ########### ########## Tcl recorder starts at 05/07/14 14:42:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:42:36 ########### ########## Tcl recorder starts at 05/07/14 14:42:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:42:38 ########### ########## Tcl recorder starts at 05/07/14 14:43:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:43:29 ########### ########## Tcl recorder starts at 05/07/14 14:43:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:43:35 ########### ########## Tcl recorder starts at 05/07/14 14:44:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:44:17 ########### ########## Tcl recorder starts at 05/07/14 14:44:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:44:19 ########### ########## Tcl recorder starts at 05/07/14 14:45:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:45:07 ########### ########## Tcl recorder starts at 05/07/14 14:45:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:45:11 ########### ########## Tcl recorder starts at 05/07/14 14:46:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:00 ########### ########## Tcl recorder starts at 05/07/14 14:46:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:04 ########### ########## Tcl recorder starts at 05/07/14 14:46:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:43 ########### ########## Tcl recorder starts at 05/07/14 14:46:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:46:47 ########### ########## Tcl recorder starts at 05/07/14 14:50:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:50:28 ########### ########## Tcl recorder starts at 05/07/14 14:50:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:50:33 ########### ########## Tcl recorder starts at 05/07/14 14:55:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:55:00 ########### ########## Tcl recorder starts at 05/07/14 14:55:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:55:22 ########### ########## Tcl recorder starts at 05/07/14 14:57:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:57:55 ########### ########## Tcl recorder starts at 05/07/14 14:59:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:21 ########### ########## Tcl recorder starts at 05/07/14 14:59:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:28 ########### ########## Tcl recorder starts at 05/07/14 14:59:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 14:59:31 ########### ########## Tcl recorder starts at 05/07/14 16:35:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:35:15 ########### ########## Tcl recorder starts at 05/07/14 16:35:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:35:21 ########### ########## Tcl recorder starts at 05/07/14 16:38:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:38:00 ########### ########## Tcl recorder starts at 05/07/14 16:38:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 16:38:03 ########### ########## Tcl recorder starts at 05/07/14 17:23:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:23:01 ########### ########## Tcl recorder starts at 05/07/14 17:23:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:23:06 ########### ########## Tcl recorder starts at 05/07/14 17:24:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:24:31 ########### ########## Tcl recorder starts at 05/07/14 17:24:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 17:24:35 ########### ########## Tcl recorder starts at 05/07/14 21:29:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 21:29:40 ########### ########## Tcl recorder starts at 05/07/14 21:29:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 21:29:46 ########### ########## Tcl recorder starts at 05/07/14 22:03:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:01 ########### ########## Tcl recorder starts at 05/07/14 22:03:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:04 ########### ########## Tcl recorder starts at 05/07/14 22:03:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:25 ########### ########## Tcl recorder starts at 05/07/14 22:03:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:03:27 ########### ########## Tcl recorder starts at 05/07/14 22:04:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:04:13 ########### ########## Tcl recorder starts at 05/07/14 22:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:04:15 ########### ########## Tcl recorder starts at 05/07/14 22:52:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:52:29 ########### ########## Tcl recorder starts at 05/07/14 22:52:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:52:33 ########### ########## Tcl recorder starts at 05/07/14 22:53:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:53:50 ########### ########## Tcl recorder starts at 05/07/14 22:54:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:54:13 ########### ########## Tcl recorder starts at 05/07/14 22:54:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/07/14 22:54:15 ########### ########## Tcl recorder starts at 05/08/14 11:46:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 11:46:36 ########### ########## Tcl recorder starts at 05/08/14 11:46:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 11:46:52 ########### ########## Tcl recorder starts at 05/08/14 12:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 12:59:07 ########### ########## Tcl recorder starts at 05/08/14 12:59:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 12:59:13 ########### ########## Tcl recorder starts at 05/08/14 13:02:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:02:51 ########### ########## Tcl recorder starts at 05/08/14 13:02:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:02:53 ########### ########## Tcl recorder starts at 05/08/14 13:04:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:04:33 ########### ########## Tcl recorder starts at 05/08/14 13:04:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:04:53 ########### ########## Tcl recorder starts at 05/08/14 13:07:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:07:03 ########### ########## Tcl recorder starts at 05/08/14 13:07:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:07:08 ########### ########## Tcl recorder starts at 05/08/14 13:08:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:23 ########### ########## Tcl recorder starts at 05/08/14 13:08:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:42 ########### ########## Tcl recorder starts at 05/08/14 13:08:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:08:45 ########### ########## Tcl recorder starts at 05/08/14 13:09:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:00 ########### ########## Tcl recorder starts at 05/08/14 13:09:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:00 ########### ########## Tcl recorder starts at 05/08/14 13:09:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:43 ########### ########## Tcl recorder starts at 05/08/14 13:09:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:54 ########### ########## Tcl recorder starts at 05/08/14 13:09:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:09:58 ########### ########## Tcl recorder starts at 05/08/14 13:11:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:11:03 ########### ########## Tcl recorder starts at 05/08/14 13:11:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:11:10 ########### ########## Tcl recorder starts at 05/08/14 13:12:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:06 ########### ########## Tcl recorder starts at 05/08/14 13:12:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:13 ########### ########## Tcl recorder starts at 05/08/14 13:12:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:54 ########### ########## Tcl recorder starts at 05/08/14 13:12:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:12:58 ########### ########## Tcl recorder starts at 05/08/14 13:13:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:13:45 ########### ########## Tcl recorder starts at 05/08/14 13:13:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:13:47 ########### ########## Tcl recorder starts at 05/08/14 13:16:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:08 ########### ########## Tcl recorder starts at 05/08/14 13:16:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:27 ########### ########## Tcl recorder starts at 05/08/14 13:16:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:16:35 ########### ########## Tcl recorder starts at 05/08/14 13:17:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:17:48 ########### ########## Tcl recorder starts at 05/08/14 13:17:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:17:50 ########### ########## Tcl recorder starts at 05/08/14 13:19:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:15 ########### ########## Tcl recorder starts at 05/08/14 13:19:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:34 ########### ########## Tcl recorder starts at 05/08/14 13:19:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:19:48 ########### ########## Tcl recorder starts at 05/08/14 13:20:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:20:38 ########### ########## Tcl recorder starts at 05/08/14 13:20:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:20:45 ########### ########## Tcl recorder starts at 05/08/14 13:23:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:23:43 ########### ########## Tcl recorder starts at 05/08/14 13:23:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:23:54 ########### ########## Tcl recorder starts at 05/08/14 13:24:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:24:45 ########### ########## Tcl recorder starts at 05/08/14 13:24:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:24:48 ########### ########## Tcl recorder starts at 05/08/14 13:26:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:05 ########### ########## Tcl recorder starts at 05/08/14 13:26:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:18 ########### ########## Tcl recorder starts at 05/08/14 13:26:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:23 ########### ########## Tcl recorder starts at 05/08/14 13:26:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:26:27 ########### ########## Tcl recorder starts at 05/08/14 13:27:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:17 ########### ########## Tcl recorder starts at 05/08/14 13:27:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:47 ########### ########## Tcl recorder starts at 05/08/14 13:27:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:27:49 ########### ########## Tcl recorder starts at 05/08/14 13:30:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:08 ########### ########## Tcl recorder starts at 05/08/14 13:30:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:19 ########### ########## Tcl recorder starts at 05/08/14 13:30:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:37 ########### ########## Tcl recorder starts at 05/08/14 13:30:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:40 ########### ########## Tcl recorder starts at 05/08/14 13:30:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:30:59 ########### ########## Tcl recorder starts at 05/08/14 13:31:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:31:01 ########### ########## Tcl recorder starts at 05/08/14 13:32:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:04 ########### ########## Tcl recorder starts at 05/08/14 13:32:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:07 ########### ########## Tcl recorder starts at 05/08/14 13:32:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:52 ########### ########## Tcl recorder starts at 05/08/14 13:32:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:32:54 ########### ########## Tcl recorder starts at 05/08/14 13:33:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:33:28 ########### ########## Tcl recorder starts at 05/08/14 13:34:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:34:33 ########### ########## Tcl recorder starts at 05/08/14 13:34:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:34:36 ########### ########## Tcl recorder starts at 05/08/14 13:35:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:35:22 ########### ########## Tcl recorder starts at 05/08/14 13:35:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:35:25 ########### ########## Tcl recorder starts at 05/08/14 13:36:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:36:20 ########### ########## Tcl recorder starts at 05/08/14 13:36:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 13:36:22 ########### ########## Tcl recorder starts at 05/08/14 21:08:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:08:50 ########### ########## Tcl recorder starts at 05/08/14 21:08:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:08:55 ########### ########## Tcl recorder starts at 05/08/14 21:09:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:09:10 ########### ########## Tcl recorder starts at 05/08/14 21:09:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:09:44 ########### ########## Tcl recorder starts at 05/08/14 21:10:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:10:50 ########### ########## Tcl recorder starts at 05/08/14 21:10:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:10:59 ########### ########## Tcl recorder starts at 05/08/14 21:13:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:13:20 ########### ########## Tcl recorder starts at 05/08/14 21:13:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:13:25 ########### ########## Tcl recorder starts at 05/08/14 21:14:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:14:21 ########### ########## Tcl recorder starts at 05/08/14 21:14:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:14:24 ########### ########## Tcl recorder starts at 05/08/14 21:15:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:15:55 ########### ########## Tcl recorder starts at 05/08/14 21:16:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:16:24 ########### ########## Tcl recorder starts at 05/08/14 21:16:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:16:58 ########### ########## Tcl recorder starts at 05/08/14 21:17:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:17:01 ########### ########## Tcl recorder starts at 05/08/14 21:23:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:23:57 ########### ########## Tcl recorder starts at 05/08/14 21:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:24:14 ########### ########## Tcl recorder starts at 05/08/14 21:28:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:28:07 ########### ########## Tcl recorder starts at 05/08/14 21:28:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:28:14 ########### ########## Tcl recorder starts at 05/08/14 21:29:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:29:46 ########### ########## Tcl recorder starts at 05/08/14 21:29:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:29:51 ########### ########## Tcl recorder starts at 05/08/14 21:31:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:31:14 ########### ########## Tcl recorder starts at 05/08/14 21:31:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:31:17 ########### ########## Tcl recorder starts at 05/08/14 21:32:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:32:38 ########### ########## Tcl recorder starts at 05/08/14 21:32:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:32:41 ########### ########## Tcl recorder starts at 05/08/14 21:34:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:34:59 ########### ########## Tcl recorder starts at 05/08/14 21:35:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:35:08 ########### ########## Tcl recorder starts at 05/08/14 21:36:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:36:10 ########### ########## Tcl recorder starts at 05/08/14 21:36:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:36:14 ########### ########## Tcl recorder starts at 05/08/14 21:42:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:42:21 ########### ########## Tcl recorder starts at 05/08/14 21:42:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:42:55 ########### ########## Tcl recorder starts at 05/08/14 21:57:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 21:57:57 ########### ########## Tcl recorder starts at 05/08/14 22:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:01:00 ########### ########## Tcl recorder starts at 05/08/14 22:01:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:01:33 ########### ########## Tcl recorder starts at 05/08/14 22:02:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:02:26 ########### ########## Tcl recorder starts at 05/08/14 22:02:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:02:28 ########### ########## Tcl recorder starts at 05/08/14 22:03:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:03:04 ########### ########## Tcl recorder starts at 05/08/14 22:04:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:04:15 ########### ########## Tcl recorder starts at 05/08/14 22:04:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:04:51 ########### ########## Tcl recorder starts at 05/08/14 22:07:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:07:57 ########### ########## Tcl recorder starts at 05/08/14 22:08:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:08:13 ########### ########## Tcl recorder starts at 05/08/14 22:10:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:10:54 ########### ########## Tcl recorder starts at 05/08/14 22:11:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:11:21 ########### ########## Tcl recorder starts at 05/08/14 22:13:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:13:17 ########### ########## Tcl recorder starts at 05/08/14 22:15:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:15:39 ########### ########## Tcl recorder starts at 05/08/14 22:15:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:15:56 ########### ########## Tcl recorder starts at 05/08/14 22:17:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:17:40 ########### ########## Tcl recorder starts at 05/08/14 22:17:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:17:44 ########### ########## Tcl recorder starts at 05/08/14 22:26:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:26:17 ########### ########## Tcl recorder starts at 05/08/14 22:26:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:26:23 ########### ########## Tcl recorder starts at 05/08/14 22:27:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:27:35 ########### ########## Tcl recorder starts at 05/08/14 22:27:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:27:41 ########### ########## Tcl recorder starts at 05/08/14 22:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:30:18 ########### ########## Tcl recorder starts at 05/08/14 22:30:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:30:30 ########### ########## Tcl recorder starts at 05/08/14 22:51:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:51:42 ########### ########## Tcl recorder starts at 05/08/14 22:52:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:52:05 ########### ########## Tcl recorder starts at 05/08/14 22:53:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:53:10 ########### ########## Tcl recorder starts at 05/08/14 22:53:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 22:53:19 ########### ########## Tcl recorder starts at 05/08/14 23:32:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:32:37 ########### ########## Tcl recorder starts at 05/08/14 23:32:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:32:43 ########### ########## Tcl recorder starts at 05/08/14 23:33:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:33:23 ########### ########## Tcl recorder starts at 05/08/14 23:34:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:34:00 ########### ########## Tcl recorder starts at 05/08/14 23:34:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:34:02 ########### ########## Tcl recorder starts at 05/08/14 23:37:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:37:34 ########### ########## Tcl recorder starts at 05/08/14 23:38:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:38:40 ########### ########## Tcl recorder starts at 05/08/14 23:38:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:38:53 ########### ########## Tcl recorder starts at 05/08/14 23:42:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:16 ########### ########## Tcl recorder starts at 05/08/14 23:42:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:30 ########### ########## Tcl recorder starts at 05/08/14 23:42:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:42:48 ########### ########## Tcl recorder starts at 05/08/14 23:43:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:00 ########### ########## Tcl recorder starts at 05/08/14 23:43:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:02 ########### ########## Tcl recorder starts at 05/08/14 23:43:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:19 ########### ########## Tcl recorder starts at 05/08/14 23:43:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:43:21 ########### ########## Tcl recorder starts at 05/08/14 23:45:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/08/14 23:45:02 ########### ########## Tcl recorder starts at 05/09/14 10:34:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:34:25 ########### ########## Tcl recorder starts at 05/09/14 10:35:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:35:00 ########### ########## Tcl recorder starts at 05/09/14 10:35:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:35:05 ########### ########## Tcl recorder starts at 05/09/14 10:37:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:01 ########### ########## Tcl recorder starts at 05/09/14 10:37:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:07 ########### ########## Tcl recorder starts at 05/09/14 10:37:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:21 ########### ########## Tcl recorder starts at 05/09/14 10:37:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:37:26 ########### ########## Tcl recorder starts at 05/09/14 10:38:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:38:15 ########### ########## Tcl recorder starts at 05/09/14 10:38:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:38:19 ########### ########## Tcl recorder starts at 05/09/14 10:39:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:39:27 ########### ########## Tcl recorder starts at 05/09/14 10:39:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:39:33 ########### ########## Tcl recorder starts at 05/09/14 10:40:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:25 ########### ########## Tcl recorder starts at 05/09/14 10:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:33 ########### ########## Tcl recorder starts at 05/09/14 10:40:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:47 ########### ########## Tcl recorder starts at 05/09/14 10:40:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:40:53 ########### ########## Tcl recorder starts at 05/09/14 10:44:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:44:11 ########### ########## Tcl recorder starts at 05/09/14 10:44:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:44:15 ########### ########## Tcl recorder starts at 05/09/14 10:45:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:18 ########### ########## Tcl recorder starts at 05/09/14 10:45:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:43 ########### ########## Tcl recorder starts at 05/09/14 10:45:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:57 ########### ########## Tcl recorder starts at 05/09/14 10:45:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:45:58 ########### ########## Tcl recorder starts at 05/09/14 10:47:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:08 ########### ########## Tcl recorder starts at 05/09/14 10:47:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:27 ########### ########## Tcl recorder starts at 05/09/14 10:47:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:47:31 ########### ########## Tcl recorder starts at 05/09/14 10:49:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:49:53 ########### ########## Tcl recorder starts at 05/09/14 10:49:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:49:57 ########### ########## Tcl recorder starts at 05/09/14 10:50:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:50:51 ########### ########## Tcl recorder starts at 05/09/14 10:50:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:50:59 ########### ########## Tcl recorder starts at 05/09/14 10:53:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:53:39 ########### ########## Tcl recorder starts at 05/09/14 10:53:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:53:57 ########### ########## Tcl recorder starts at 05/09/14 10:55:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:55:29 ########### ########## Tcl recorder starts at 05/09/14 10:55:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:55:33 ########### ########## Tcl recorder starts at 05/09/14 10:57:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:57:07 ########### ########## Tcl recorder starts at 05/09/14 10:58:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:58:25 ########### ########## Tcl recorder starts at 05/09/14 10:58:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 10:58:40 ########### ########## Tcl recorder starts at 05/09/14 11:03:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:03:55 ########### ########## Tcl recorder starts at 05/09/14 11:04:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:04:01 ########### ########## Tcl recorder starts at 05/09/14 11:07:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:07:22 ########### ########## Tcl recorder starts at 05/09/14 11:07:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:07:27 ########### ########## Tcl recorder starts at 05/09/14 11:11:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:11:16 ########### ########## Tcl recorder starts at 05/09/14 11:11:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:11:20 ########### ########## Tcl recorder starts at 05/09/14 11:14:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:14:57 ########### ########## Tcl recorder starts at 05/09/14 11:15:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:01 ########### ########## Tcl recorder starts at 05/09/14 11:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:17 ########### ########## Tcl recorder starts at 05/09/14 11:15:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:22 ########### ########## Tcl recorder starts at 05/09/14 11:15:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:15:34 ########### ########## Tcl recorder starts at 05/09/14 11:16:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:16:52 ########### ########## Tcl recorder starts at 05/09/14 11:17:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:17:04 ########### ########## Tcl recorder starts at 05/09/14 11:17:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:17:06 ########### ########## Tcl recorder starts at 05/09/14 11:20:12 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:20:12 ########### ########## Tcl recorder starts at 05/09/14 11:21:38 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:21:38 ########### ########## Tcl recorder starts at 05/09/14 11:22:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:17 ########### ########## Tcl recorder starts at 05/09/14 11:22:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:22 ########### ########## Tcl recorder starts at 05/09/14 11:22:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:39 ########### ########## Tcl recorder starts at 05/09/14 11:22:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:22:44 ########### ########## Tcl recorder starts at 05/09/14 11:23:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:23:26 ########### ########## Tcl recorder starts at 05/09/14 11:23:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:23:30 ########### ########## Tcl recorder starts at 05/09/14 11:24:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:38 ########### ########## Tcl recorder starts at 05/09/14 11:24:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:44 ########### ########## Tcl recorder starts at 05/09/14 11:24:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:24:59 ########### ########## Tcl recorder starts at 05/09/14 11:25:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:25:03 ########### ########## Tcl recorder starts at 05/09/14 11:26:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:26:27 ########### ########## Tcl recorder starts at 05/09/14 11:26:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 11:26:28 ########### ########## Tcl recorder starts at 05/09/14 12:46:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:46:05 ########### ########## Tcl recorder starts at 05/09/14 12:46:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:46:28 ########### ########## Tcl recorder starts at 05/09/14 12:47:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:47:48 ########### ########## Tcl recorder starts at 05/09/14 12:57:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 12:57:27 ########### ########## Tcl recorder starts at 05/09/14 13:02:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:02:10 ########### ########## Tcl recorder starts at 05/09/14 13:03:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:28 ########### ########## Tcl recorder starts at 05/09/14 13:03:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:47 ########### ########## Tcl recorder starts at 05/09/14 13:03:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 13:03:52 ########### ########## Tcl recorder starts at 05/09/14 16:25:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:25:13 ########### ########## Tcl recorder starts at 05/09/14 16:26:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:26:00 ########### ########## Tcl recorder starts at 05/09/14 16:26:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:26:09 ########### ########## Tcl recorder starts at 05/09/14 16:29:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:29:02 ########### ########## Tcl recorder starts at 05/09/14 16:29:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 16:29:16 ########### ########## Tcl recorder starts at 05/09/14 21:16:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:00 ########### ########## Tcl recorder starts at 05/09/14 21:16:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:29 ########### ########## Tcl recorder starts at 05/09/14 21:16:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:16:46 ########### ########## Tcl recorder starts at 05/09/14 21:38:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:38:44 ########### ########## Tcl recorder starts at 05/09/14 21:39:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:26 ########### ########## Tcl recorder starts at 05/09/14 21:39:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:30 ########### ########## Tcl recorder starts at 05/09/14 21:39:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:44 ########### ########## Tcl recorder starts at 05/09/14 21:39:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:49 ########### ########## Tcl recorder starts at 05/09/14 21:39:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:39:53 ########### ########## Tcl recorder starts at 05/09/14 21:58:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:58:01 ########### ########## Tcl recorder starts at 05/09/14 21:58:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 21:58:05 ########### ########## Tcl recorder starts at 05/09/14 22:02:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:02:48 ########### ########## Tcl recorder starts at 05/09/14 22:04:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:04:08 ########### ########## Tcl recorder starts at 05/09/14 22:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:04:13 ########### ########## Tcl recorder starts at 05/09/14 22:40:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:40:04 ########### ########## Tcl recorder starts at 05/09/14 22:40:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 22:40:13 ########### ########## Tcl recorder starts at 05/09/14 23:19:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:19:24 ########### ########## Tcl recorder starts at 05/09/14 23:23:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:23:27 ########### ########## Tcl recorder starts at 05/09/14 23:24:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:24:12 ########### ########## Tcl recorder starts at 05/09/14 23:26:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:26:22 ########### ########## Tcl recorder starts at 05/09/14 23:27:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:27:01 ########### ########## Tcl recorder starts at 05/09/14 23:29:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:29:59 ########### ########## Tcl recorder starts at 05/09/14 23:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:18 ########### ########## Tcl recorder starts at 05/09/14 23:30:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:47 ########### ########## Tcl recorder starts at 05/09/14 23:30:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:30:52 ########### ########## Tcl recorder starts at 05/09/14 23:31:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:31:51 ########### ########## Tcl recorder starts at 05/09/14 23:32:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:32:00 ########### ########## Tcl recorder starts at 05/09/14 23:35:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:35:08 ########### ########## Tcl recorder starts at 05/09/14 23:35:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:35:28 ########### ########## Tcl recorder starts at 05/09/14 23:39:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:39:41 ########### ########## Tcl recorder starts at 05/09/14 23:39:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:39:44 ########### ########## Tcl recorder starts at 05/09/14 23:40:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:40:45 ########### ########## Tcl recorder starts at 05/09/14 23:40:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:40:51 ########### ########## Tcl recorder starts at 05/09/14 23:42:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:42:44 ########### ########## Tcl recorder starts at 05/09/14 23:42:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:42:48 ########### ########## Tcl recorder starts at 05/09/14 23:44:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:44:11 ########### ########## Tcl recorder starts at 05/09/14 23:44:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:44:12 ########### ########## Tcl recorder starts at 05/09/14 23:45:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:45:55 ########### ########## Tcl recorder starts at 05/09/14 23:46:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:23 ########### ########## Tcl recorder starts at 05/09/14 23:46:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:40 ########### ########## Tcl recorder starts at 05/09/14 23:46:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:46:41 ########### ########## Tcl recorder starts at 05/09/14 23:47:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:47:33 ########### ########## Tcl recorder starts at 05/09/14 23:47:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:47:43 ########### ########## Tcl recorder starts at 05/09/14 23:48:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:48:32 ########### ########## Tcl recorder starts at 05/09/14 23:48:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:48:44 ########### ########## Tcl recorder starts at 05/09/14 23:49:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:49:04 ########### ########## Tcl recorder starts at 05/09/14 23:49:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:49:12 ########### ########## Tcl recorder starts at 05/09/14 23:50:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:50:56 ########### ########## Tcl recorder starts at 05/09/14 23:51:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:51:00 ########### ########## Tcl recorder starts at 05/09/14 23:54:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:54:15 ########### ########## Tcl recorder starts at 05/09/14 23:54:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:54:22 ########### ########## Tcl recorder starts at 05/09/14 23:55:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:55:33 ########### ########## Tcl recorder starts at 05/09/14 23:55:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:55:39 ########### ########## Tcl recorder starts at 05/09/14 23:58:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:58:14 ########### ########## Tcl recorder starts at 05/09/14 23:58:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:58:18 ########### ########## Tcl recorder starts at 05/09/14 23:59:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:59:17 ########### ########## Tcl recorder starts at 05/09/14 23:59:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/09/14 23:59:21 ########### ########## Tcl recorder starts at 05/10/14 00:01:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:01:50 ########### ########## Tcl recorder starts at 05/10/14 00:01:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:01:55 ########### ########## Tcl recorder starts at 05/10/14 00:05:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:05:59 ########### ########## Tcl recorder starts at 05/10/14 00:06:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:06:06 ########### ########## Tcl recorder starts at 05/10/14 00:06:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:06:46 ########### ########## Tcl recorder starts at 05/10/14 00:07:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:07:19 ########### ########## Tcl recorder starts at 05/10/14 00:07:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:07:26 ########### ########## Tcl recorder starts at 05/10/14 00:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:09:51 ########### ########## Tcl recorder starts at 05/10/14 00:09:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:09:59 ########### ########## Tcl recorder starts at 05/10/14 00:12:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:22 ########### ########## Tcl recorder starts at 05/10/14 00:12:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:27 ########### ########## Tcl recorder starts at 05/10/14 00:12:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:49 ########### ########## Tcl recorder starts at 05/10/14 00:12:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:12:51 ########### ########## Tcl recorder starts at 05/10/14 00:13:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:13:05 ########### ########## Tcl recorder starts at 05/10/14 00:13:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:13:07 ########### ########## Tcl recorder starts at 05/10/14 00:14:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:14:14 ########### ########## Tcl recorder starts at 05/10/14 00:14:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:14:32 ########### ########## Tcl recorder starts at 05/10/14 00:17:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:17:55 ########### ########## Tcl recorder starts at 05/10/14 00:18:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:18:03 ########### ########## Tcl recorder starts at 05/10/14 00:18:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:18:25 ########### ########## Tcl recorder starts at 05/10/14 00:19:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:19:13 ########### ########## Tcl recorder starts at 05/10/14 00:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:13 ########### ########## Tcl recorder starts at 05/10/14 00:21:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:24 ########### ########## Tcl recorder starts at 05/10/14 00:21:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:21:56 ########### ########## Tcl recorder starts at 05/10/14 00:23:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:23:17 ########### ########## Tcl recorder starts at 05/10/14 00:23:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:23:33 ########### ########## Tcl recorder starts at 05/10/14 00:24:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:24:12 ########### ########## Tcl recorder starts at 05/10/14 00:24:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:24:17 ########### ########## Tcl recorder starts at 05/10/14 00:25:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:25:51 ########### ########## Tcl recorder starts at 05/10/14 00:25:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:25:54 ########### ########## Tcl recorder starts at 05/10/14 00:26:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:30 ########### ########## Tcl recorder starts at 05/10/14 00:26:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:47 ########### ########## Tcl recorder starts at 05/10/14 00:26:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:26:52 ########### ########## Tcl recorder starts at 05/10/14 00:27:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:27:49 ########### ########## Tcl recorder starts at 05/10/14 00:27:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:27:56 ########### ########## Tcl recorder starts at 05/10/14 00:29:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:29:19 ########### ########## Tcl recorder starts at 05/10/14 00:29:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:29:24 ########### ########## Tcl recorder starts at 05/10/14 00:32:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:32:41 ########### ########## Tcl recorder starts at 05/10/14 00:33:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:33:12 ########### ########## Tcl recorder starts at 05/10/14 00:35:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:35:50 ########### ########## Tcl recorder starts at 05/10/14 00:35:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:35:57 ########### ########## Tcl recorder starts at 05/10/14 00:38:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:38:30 ########### ########## Tcl recorder starts at 05/10/14 00:38:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:38:39 ########### ########## Tcl recorder starts at 05/10/14 00:40:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:40:16 ########### ########## Tcl recorder starts at 05/10/14 00:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 00:40:33 ########### ########## Tcl recorder starts at 05/10/14 11:03:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:21 ########### ########## Tcl recorder starts at 05/10/14 11:03:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:29 ########### ########## Tcl recorder starts at 05/10/14 11:03:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:03:34 ########### ########## Tcl recorder starts at 05/10/14 11:04:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:07 ########### ########## Tcl recorder starts at 05/10/14 11:04:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:15 ########### ########## Tcl recorder starts at 05/10/14 11:04:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:30 ########### ########## Tcl recorder starts at 05/10/14 11:04:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:04:40 ########### ########## Tcl recorder starts at 05/10/14 11:08:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:08:29 ########### ########## Tcl recorder starts at 05/10/14 11:10:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:01 ########### ########## Tcl recorder starts at 05/10/14 11:10:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:05 ########### ########## Tcl recorder starts at 05/10/14 11:10:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:10:11 ########### ########## Tcl recorder starts at 05/10/14 11:11:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:11:40 ########### ########## Tcl recorder starts at 05/10/14 11:11:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:11:43 ########### ########## Tcl recorder starts at 05/10/14 11:12:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:12:27 ########### ########## Tcl recorder starts at 05/10/14 11:12:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:12:32 ########### ########## Tcl recorder starts at 05/10/14 11:15:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:15:18 ########### ########## Tcl recorder starts at 05/10/14 11:15:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:15:20 ########### ########## Tcl recorder starts at 05/10/14 11:18:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 11:18:23 ########### ########## Tcl recorder starts at 05/10/14 21:03:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 21:03:00 ########### ########## Tcl recorder starts at 05/10/14 21:03:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 21:03:04 ########### ########## Tcl recorder starts at 05/10/14 22:25:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:25:35 ########### ########## Tcl recorder starts at 05/10/14 22:25:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:25:40 ########### ########## Tcl recorder starts at 05/10/14 22:27:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:27:52 ########### ########## Tcl recorder starts at 05/10/14 22:27:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:27:55 ########### ########## Tcl recorder starts at 05/10/14 22:40:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:40:18 ########### ########## Tcl recorder starts at 05/10/14 22:40:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:40:20 ########### ########## Tcl recorder starts at 05/10/14 22:41:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:41:16 ########### ########## Tcl recorder starts at 05/10/14 22:41:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:41:26 ########### ########## Tcl recorder starts at 05/10/14 22:42:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:42:49 ########### ########## Tcl recorder starts at 05/10/14 22:42:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:42:57 ########### ########## Tcl recorder starts at 05/10/14 22:45:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:45:59 ########### ########## Tcl recorder starts at 05/10/14 22:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:47:01 ########### ########## Tcl recorder starts at 05/10/14 22:47:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:47:29 ########### ########## Tcl recorder starts at 05/10/14 22:48:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:48:02 ########### ########## Tcl recorder starts at 05/10/14 22:48:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:48:07 ########### ########## Tcl recorder starts at 05/10/14 22:49:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:34 ########### ########## Tcl recorder starts at 05/10/14 22:49:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:37 ########### ########## Tcl recorder starts at 05/10/14 22:49:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:49:44 ########### ########## Tcl recorder starts at 05/10/14 22:56:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 22:56:41 ########### ########## Tcl recorder starts at 05/10/14 23:05:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:05:30 ########### ########## Tcl recorder starts at 05/10/14 23:05:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:05:40 ########### ########## Tcl recorder starts at 05/10/14 23:08:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:08:44 ########### ########## Tcl recorder starts at 05/10/14 23:08:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:08:47 ########### ########## Tcl recorder starts at 05/10/14 23:10:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:10:30 ########### ########## Tcl recorder starts at 05/10/14 23:11:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:11:23 ########### ########## Tcl recorder starts at 05/10/14 23:12:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:12:23 ########### ########## Tcl recorder starts at 05/10/14 23:13:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:13:48 ########### ########## Tcl recorder starts at 05/10/14 23:13:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:13:57 ########### ########## Tcl recorder starts at 05/10/14 23:15:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:15:20 ########### ########## Tcl recorder starts at 05/10/14 23:15:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:15:24 ########### ########## Tcl recorder starts at 05/10/14 23:16:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:01 ########### ########## Tcl recorder starts at 05/10/14 23:16:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:06 ########### ########## Tcl recorder starts at 05/10/14 23:16:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:16:54 ########### ########## Tcl recorder starts at 05/10/14 23:17:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:17:04 ########### ########## Tcl recorder starts at 05/10/14 23:18:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:18:31 ########### ########## Tcl recorder starts at 05/10/14 23:18:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:18:37 ########### ########## Tcl recorder starts at 05/10/14 23:19:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:19:30 ########### ########## Tcl recorder starts at 05/10/14 23:19:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:19:35 ########### ########## Tcl recorder starts at 05/10/14 23:20:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:11 ########### ########## Tcl recorder starts at 05/10/14 23:20:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:13 ########### ########## Tcl recorder starts at 05/10/14 23:20:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:50 ########### ########## Tcl recorder starts at 05/10/14 23:20:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:20:52 ########### ########## Tcl recorder starts at 05/10/14 23:21:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:21:33 ########### ########## Tcl recorder starts at 05/10/14 23:21:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:21:35 ########### ########## Tcl recorder starts at 05/10/14 23:24:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:24:17 ########### ########## Tcl recorder starts at 05/10/14 23:24:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:24:24 ########### ########## Tcl recorder starts at 05/10/14 23:25:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:25:21 ########### ########## Tcl recorder starts at 05/10/14 23:25:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:25:23 ########### ########## Tcl recorder starts at 05/10/14 23:26:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:03 ########### ########## Tcl recorder starts at 05/10/14 23:26:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:09 ########### ########## Tcl recorder starts at 05/10/14 23:26:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:10 ########### ########## Tcl recorder starts at 05/10/14 23:26:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:56 ########### ########## Tcl recorder starts at 05/10/14 23:26:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:26:58 ########### ########## Tcl recorder starts at 05/10/14 23:27:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:35 ########### ########## Tcl recorder starts at 05/10/14 23:27:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:48 ########### ########## Tcl recorder starts at 05/10/14 23:27:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:27:50 ########### ########## Tcl recorder starts at 05/10/14 23:28:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:28:42 ########### ########## Tcl recorder starts at 05/10/14 23:29:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:29:00 ########### ########## Tcl recorder starts at 05/10/14 23:34:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:34:48 ########### ########## Tcl recorder starts at 05/10/14 23:34:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:34:58 ########### ########## Tcl recorder starts at 05/10/14 23:53:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:53:50 ########### ########## Tcl recorder starts at 05/10/14 23:53:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:53:56 ########### ########## Tcl recorder starts at 05/10/14 23:54:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:54:37 ########### ########## Tcl recorder starts at 05/10/14 23:54:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:54:39 ########### ########## Tcl recorder starts at 05/10/14 23:55:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:11 ########### ########## Tcl recorder starts at 05/10/14 23:55:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:13 ########### ########## Tcl recorder starts at 05/10/14 23:55:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:55:57 ########### ########## Tcl recorder starts at 05/10/14 23:56:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:56:04 ########### ########## Tcl recorder starts at 05/10/14 23:56:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:56:53 ########### ########## Tcl recorder starts at 05/10/14 23:57:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:57:06 ########### ########## Tcl recorder starts at 05/10/14 23:58:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:02 ########### ########## Tcl recorder starts at 05/10/14 23:58:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:05 ########### ########## Tcl recorder starts at 05/10/14 23:58:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:50 ########### ########## Tcl recorder starts at 05/10/14 23:58:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:58:56 ########### ########## Tcl recorder starts at 05/10/14 23:59:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:59:30 ########### ########## Tcl recorder starts at 05/10/14 23:59:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/10/14 23:59:31 ########### ########## Tcl recorder starts at 05/11/14 00:00:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:00:12 ########### ########## Tcl recorder starts at 05/11/14 00:00:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:00:14 ########### ########## Tcl recorder starts at 05/11/14 00:04:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:04:52 ########### ########## Tcl recorder starts at 05/11/14 00:05:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:05:00 ########### ########## Tcl recorder starts at 05/11/14 00:06:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:06:09 ########### ########## Tcl recorder starts at 05/11/14 00:06:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:06:13 ########### ########## Tcl recorder starts at 05/11/14 00:07:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:07:06 ########### ########## Tcl recorder starts at 05/11/14 00:07:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:07:09 ########### ########## Tcl recorder starts at 05/11/14 00:23:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:23:41 ########### ########## Tcl recorder starts at 05/11/14 00:23:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:23:59 ########### ########## Tcl recorder starts at 05/11/14 00:24:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:24:59 ########### ########## Tcl recorder starts at 05/11/14 00:25:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:01 ########### ########## Tcl recorder starts at 05/11/14 00:25:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:43 ########### ########## Tcl recorder starts at 05/11/14 00:25:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 00:25:45 ########### ########## Tcl recorder starts at 05/11/14 01:00:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:00:31 ########### ########## Tcl recorder starts at 05/11/14 01:00:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:00:35 ########### ########## Tcl recorder starts at 05/11/14 01:02:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:15 ########### ########## Tcl recorder starts at 05/11/14 01:02:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:27 ########### ########## Tcl recorder starts at 05/11/14 01:02:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:39 ########### ########## Tcl recorder starts at 05/11/14 01:02:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:02:43 ########### ########## Tcl recorder starts at 05/11/14 01:05:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:16 ########### ########## Tcl recorder starts at 05/11/14 01:05:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:22 ########### ########## Tcl recorder starts at 05/11/14 01:05:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/11/14 01:05:27 ########### ########## Tcl recorder starts at 05/15/14 12:28:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:28:02 ########### ########## Tcl recorder starts at 05/15/14 12:28:18 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:28:18 ########### ########## Tcl recorder starts at 05/15/14 12:30:18 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:30:18 ########### ########## Tcl recorder starts at 05/15/14 12:31:56 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:31:56 ########### ########## Tcl recorder starts at 05/15/14 12:41:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:41:02 ########### ########## Tcl recorder starts at 05/15/14 12:41:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:41:06 ########### ########## Tcl recorder starts at 05/15/14 12:49:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:49:15 ########### ########## Tcl recorder starts at 05/15/14 12:49:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:49:19 ########### ########## Tcl recorder starts at 05/15/14 12:52:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:17 ########### ########## Tcl recorder starts at 05/15/14 12:52:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:24 ########### ########## Tcl recorder starts at 05/15/14 12:52:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:52:57 ########### ########## Tcl recorder starts at 05/15/14 12:54:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:54:19 ########### ########## Tcl recorder starts at 05/15/14 12:54:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:54:43 ########### ########## Tcl recorder starts at 05/15/14 12:55:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:55:50 ########### ########## Tcl recorder starts at 05/15/14 12:55:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:55:54 ########### ########## Tcl recorder starts at 05/15/14 12:58:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:22 ########### ########## Tcl recorder starts at 05/15/14 12:58:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:34 ########### ########## Tcl recorder starts at 05/15/14 12:58:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:58:43 ########### ########## Tcl recorder starts at 05/15/14 12:59:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:59:41 ########### ########## Tcl recorder starts at 05/15/14 12:59:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 12:59:44 ########### ########## Tcl recorder starts at 05/15/14 13:01:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:01:38 ########### ########## Tcl recorder starts at 05/15/14 13:01:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:01:42 ########### ########## Tcl recorder starts at 05/15/14 13:04:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:04:38 ########### ########## Tcl recorder starts at 05/15/14 13:04:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:04:48 ########### ########## Tcl recorder starts at 05/15/14 13:05:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:05:36 ########### ########## Tcl recorder starts at 05/15/14 13:05:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 13:05:41 ########### ########## Tcl recorder starts at 05/15/14 17:03:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:03:32 ########### ########## Tcl recorder starts at 05/15/14 17:04:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:04:14 ########### ########## Tcl recorder starts at 05/15/14 17:04:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:04:20 ########### ########## Tcl recorder starts at 05/15/14 17:07:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:07:24 ########### ########## Tcl recorder starts at 05/15/14 17:07:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:07:28 ########### ########## Tcl recorder starts at 05/15/14 17:24:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:33 ########### ########## Tcl recorder starts at 05/15/14 17:24:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:37 ########### ########## Tcl recorder starts at 05/15/14 17:24:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:53 ########### ########## Tcl recorder starts at 05/15/14 17:24:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:24:53 ########### ########## Tcl recorder starts at 05/15/14 17:28:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:03 ########### ########## Tcl recorder starts at 05/15/14 17:28:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:43 ########### ########## Tcl recorder starts at 05/15/14 17:28:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:28:48 ########### ########## Tcl recorder starts at 05/15/14 17:32:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:00 ########### ########## Tcl recorder starts at 05/15/14 17:32:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:04 ########### ########## Tcl recorder starts at 05/15/14 17:32:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:22 ########### ########## Tcl recorder starts at 05/15/14 17:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:32:23 ########### ########## Tcl recorder starts at 05/15/14 17:34:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:34:15 ########### ########## Tcl recorder starts at 05/15/14 17:34:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:34:36 ########### ########## Tcl recorder starts at 05/15/14 17:40:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:40:22 ########### ########## Tcl recorder starts at 05/15/14 17:40:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:40:26 ########### ########## Tcl recorder starts at 05/15/14 17:43:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:43:32 ########### ########## Tcl recorder starts at 05/15/14 17:43:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:43:38 ########### ########## Tcl recorder starts at 05/15/14 17:44:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:44:44 ########### ########## Tcl recorder starts at 05/15/14 17:44:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:44:46 ########### ########## Tcl recorder starts at 05/15/14 17:45:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:45:39 ########### ########## Tcl recorder starts at 05/15/14 17:45:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:45:42 ########### ########## Tcl recorder starts at 05/15/14 17:46:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:46:34 ########### ########## Tcl recorder starts at 05/15/14 17:46:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 17:46:39 ########### ########## Tcl recorder starts at 05/15/14 19:18:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:18:31 ########### ########## Tcl recorder starts at 05/15/14 19:18:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:18:35 ########### ########## Tcl recorder starts at 05/15/14 19:19:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:19:40 ########### ########## Tcl recorder starts at 05/15/14 19:19:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:19:44 ########### ########## Tcl recorder starts at 05/15/14 19:20:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:20:41 ########### ########## Tcl recorder starts at 05/15/14 19:20:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 19:20:46 ########### ########## Tcl recorder starts at 05/15/14 21:18:29 ########## set version "1.7" set proj_dir "C:/Users/Matze/Documents/GitHub/68030tk/Logic" cd $proj_dir # Get directory paths set pver $version regsub -all {\.} $pver {_} pver set lscfile "lsc_" append lscfile $pver ".ini" set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] set lsvini_path [file join $lsvini_dir $lscfile] if {[catch {set fid [open $lsvini_path]} msg]} { puts "File Open Error: $lsvini_path" return false } else {set data [read $fid]; close $fid } foreach line [split $data '\n'] { set lline [string tolower $line] set lline [string trim $lline] if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} if {$path && [regexp {^\[} $lline]} {set path 0; break} if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] regsub -all "\"" $cpld_bin "" cpld_bin set cpld_bin [file join $cpld_bin] set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] regsub -all "\"" $install_dir "" install_dir set install_dir [file join $install_dir] set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] regsub -all "\"" $fpga_dir "" fpga_dir set fpga_dir [file join $fpga_dir] set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] regsub -all "\"" $fpga_bin "" fpga_bin set fpga_bin [file join $fpga_bin] if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { set env(PATH) "$fpga_bin;$env(PATH)" } if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { set env(PATH) "$cpld_bin;$env(PATH)" } lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] package require runcmd # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:18:29 ########### ########## Tcl recorder starts at 05/15/14 21:19:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:19:32 ########### ########## Tcl recorder starts at 05/15/14 21:21:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:21:04 ########### ########## Tcl recorder starts at 05/15/14 21:21:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:21:32 ########### ########## Tcl recorder starts at 05/15/14 21:21:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:21:36 ########### ########## Tcl recorder starts at 05/15/14 21:26:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:26:46 ########### ########## Tcl recorder starts at 05/15/14 21:27:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:27:02 ########### ########## Tcl recorder starts at 05/15/14 21:27:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:27:06 ########### ########## Tcl recorder starts at 05/15/14 21:28:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:28:18 ########### ########## Tcl recorder starts at 05/15/14 21:28:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:28:29 ########### ########## Tcl recorder starts at 05/15/14 21:32:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:32:45 ########### ########## Tcl recorder starts at 05/15/14 21:32:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:32:59 ########### ########## Tcl recorder starts at 05/15/14 21:34:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:34:04 ########### ########## Tcl recorder starts at 05/15/14 21:34:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:34:09 ########### ########## Tcl recorder starts at 05/15/14 21:35:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:35:23 ########### ########## Tcl recorder starts at 05/15/14 21:35:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:35:26 ########### ########## Tcl recorder starts at 05/15/14 21:35:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:35:47 ########### ########## Tcl recorder starts at 05/15/14 21:35:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:35:49 ########### ########## Tcl recorder starts at 05/15/14 21:36:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:36:10 ########### ########## Tcl recorder starts at 05/15/14 21:36:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:36:46 ########### ########## Tcl recorder starts at 05/15/14 21:39:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:39:06 ########### ########## Tcl recorder starts at 05/15/14 21:39:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:39:13 ########### ########## Tcl recorder starts at 05/15/14 21:39:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:39:20 ########### ########## Tcl recorder starts at 05/15/14 21:40:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:40:40 ########### ########## Tcl recorder starts at 05/15/14 21:40:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:40:47 ########### ########## Tcl recorder starts at 05/15/14 21:42:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:42:07 ########### ########## Tcl recorder starts at 05/15/14 21:42:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:42:21 ########### ########## Tcl recorder starts at 05/15/14 21:46:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:46:42 ########### ########## Tcl recorder starts at 05/15/14 21:47:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:47:09 ########### ########## Tcl recorder starts at 05/15/14 21:47:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:47:49 ########### ########## Tcl recorder starts at 05/15/14 21:47:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:47:55 ########### ########## Tcl recorder starts at 05/15/14 21:48:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:48:11 ########### ########## Tcl recorder starts at 05/15/14 21:48:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:48:15 ########### ########## Tcl recorder starts at 05/15/14 21:49:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:49:47 ########### ########## Tcl recorder starts at 05/15/14 21:49:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:49:55 ########### ########## Tcl recorder starts at 05/15/14 21:56:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:56:26 ########### ########## Tcl recorder starts at 05/15/14 21:56:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:56:37 ########### ########## Tcl recorder starts at 05/15/14 21:57:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:57:43 ########### ########## Tcl recorder starts at 05/15/14 21:57:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 21:57:54 ########### ########## Tcl recorder starts at 05/15/14 22:01:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:01:09 ########### ########## Tcl recorder starts at 05/15/14 22:03:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:03:13 ########### ########## Tcl recorder starts at 05/15/14 22:03:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:03:17 ########### ########## Tcl recorder starts at 05/15/14 22:03:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:03:25 ########### ########## Tcl recorder starts at 05/15/14 22:06:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:06:12 ########### ########## Tcl recorder starts at 05/15/14 22:06:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:06:16 ########### ########## Tcl recorder starts at 05/15/14 22:16:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:16:15 ########### ########## Tcl recorder starts at 05/15/14 22:16:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:16:20 ########### ########## Tcl recorder starts at 05/15/14 22:16:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:16:30 ########### ########## Tcl recorder starts at 05/15/14 22:16:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:16:31 ########### ########## Tcl recorder starts at 05/15/14 22:17:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:17:09 ########### ########## Tcl recorder starts at 05/15/14 22:17:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:17:20 ########### ########## Tcl recorder starts at 05/15/14 22:21:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:21:39 ########### ########## Tcl recorder starts at 05/15/14 22:21:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:21:47 ########### ########## Tcl recorder starts at 05/15/14 22:52:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:52:38 ########### ########## Tcl recorder starts at 05/15/14 22:55:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:55:00 ########### ########## Tcl recorder starts at 05/15/14 22:55:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:55:06 ########### ########## Tcl recorder starts at 05/15/14 22:55:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:55:58 ########### ########## Tcl recorder starts at 05/15/14 22:55:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:55:59 ########### ########## Tcl recorder starts at 05/15/14 22:57:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:57:53 ########### ########## Tcl recorder starts at 05/15/14 22:59:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 22:59:50 ########### ########## Tcl recorder starts at 05/15/14 23:00:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:00:42 ########### ########## Tcl recorder starts at 05/15/14 23:01:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:01:06 ########### ########## Tcl recorder starts at 05/15/14 23:01:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:01:19 ########### ########## Tcl recorder starts at 05/15/14 23:01:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:01:23 ########### ########## Tcl recorder starts at 05/15/14 23:01:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:01:37 ########### ########## Tcl recorder starts at 05/15/14 23:01:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:01:39 ########### ########## Tcl recorder starts at 05/15/14 23:02:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:02:37 ########### ########## Tcl recorder starts at 05/15/14 23:02:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:02:39 ########### ########## Tcl recorder starts at 05/15/14 23:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:09:51 ########### ########## Tcl recorder starts at 05/15/14 23:09:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:09:56 ########### ########## Tcl recorder starts at 05/15/14 23:11:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:11:31 ########### ########## Tcl recorder starts at 05/15/14 23:11:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/15/14 23:11:35 ########### ########## Tcl recorder starts at 05/16/14 08:53:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 08:53:10 ########### ########## Tcl recorder starts at 05/16/14 08:53:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 08:53:13 ########### ########## Tcl recorder starts at 05/16/14 10:56:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 10:56:15 ########### ########## Tcl recorder starts at 05/16/14 10:56:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 10:56:20 ########### ########## Tcl recorder starts at 05/16/14 10:56:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 10:56:23 ########### ########## Tcl recorder starts at 05/16/14 11:00:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:00:59 ########### ########## Tcl recorder starts at 05/16/14 11:01:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:01:04 ########### ########## Tcl recorder starts at 05/16/14 11:01:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:01:52 ########### ########## Tcl recorder starts at 05/16/14 11:01:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:01:58 ########### ########## Tcl recorder starts at 05/16/14 11:07:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:07:49 ########### ########## Tcl recorder starts at 05/16/14 11:08:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:08:15 ########### ########## Tcl recorder starts at 05/16/14 11:08:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:08:16 ########### ########## Tcl recorder starts at 05/16/14 11:09:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:09:36 ########### ########## Tcl recorder starts at 05/16/14 11:10:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:10:43 ########### ########## Tcl recorder starts at 05/16/14 11:11:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:11:28 ########### ########## Tcl recorder starts at 05/16/14 11:11:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:11:43 ########### ########## Tcl recorder starts at 05/16/14 11:11:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:11:44 ########### ########## Tcl recorder starts at 05/16/14 11:14:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:14:33 ########### ########## Tcl recorder starts at 05/16/14 11:14:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 11:14:46 ########### ########## Tcl recorder starts at 05/16/14 12:28:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:28:05 ########### ########## Tcl recorder starts at 05/16/14 12:28:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:28:30 ########### ########## Tcl recorder starts at 05/16/14 12:28:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:28:35 ########### ########## Tcl recorder starts at 05/16/14 12:29:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:29:38 ########### ########## Tcl recorder starts at 05/16/14 12:29:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:29:51 ########### ########## Tcl recorder starts at 05/16/14 12:30:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:30:37 ########### ########## Tcl recorder starts at 05/16/14 12:30:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:30:43 ########### ########## Tcl recorder starts at 05/16/14 12:33:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:33:38 ########### ########## Tcl recorder starts at 05/16/14 12:33:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:33:40 ########### ########## Tcl recorder starts at 05/16/14 12:33:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:33:56 ########### ########## Tcl recorder starts at 05/16/14 12:33:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:33:57 ########### ########## Tcl recorder starts at 05/16/14 12:35:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:35:20 ########### ########## Tcl recorder starts at 05/16/14 12:35:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:35:24 ########### ########## Tcl recorder starts at 05/16/14 12:37:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:37:34 ########### ########## Tcl recorder starts at 05/16/14 12:37:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:37:47 ########### ########## Tcl recorder starts at 05/16/14 12:39:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:39:48 ########### ########## Tcl recorder starts at 05/16/14 12:39:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 12:39:51 ########### ########## Tcl recorder starts at 05/16/14 17:00:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:00:11 ########### ########## Tcl recorder starts at 05/16/14 17:00:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:00:27 ########### ########## Tcl recorder starts at 05/16/14 17:05:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:05:15 ########### ########## Tcl recorder starts at 05/16/14 17:05:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:05:26 ########### ########## Tcl recorder starts at 05/16/14 17:05:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:05:36 ########### ########## Tcl recorder starts at 05/16/14 17:06:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:06:59 ########### ########## Tcl recorder starts at 05/16/14 17:07:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 17:07:02 ########### ########## Tcl recorder starts at 05/16/14 20:27:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/16/14 20:27:52 ########### ########## Tcl recorder starts at 05/17/14 14:55:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/17/14 14:55:21 ########### ########## Tcl recorder starts at 05/17/14 14:55:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/17/14 14:55:25 ########### ########## Tcl recorder starts at 05/17/14 14:58:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/17/14 14:58:01 ########### ########## Tcl recorder starts at 05/17/14 14:58:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/17/14 14:58:06 ########### ########## Tcl recorder starts at 05/18/14 09:42:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 09:42:16 ########### ########## Tcl recorder starts at 05/18/14 09:42:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 09:42:24 ########### ########## Tcl recorder starts at 05/18/14 13:10:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:10:02 ########### ########## Tcl recorder starts at 05/18/14 13:10:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:10:04 ########### ########## Tcl recorder starts at 05/18/14 13:10:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:10:58 ########### ########## Tcl recorder starts at 05/18/14 13:11:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:11:03 ########### ########## Tcl recorder starts at 05/18/14 13:13:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:13:37 ########### ########## Tcl recorder starts at 05/18/14 13:13:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:13:41 ########### ########## Tcl recorder starts at 05/18/14 13:14:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:14:56 ########### ########## Tcl recorder starts at 05/18/14 13:15:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 13:15:00 ########### ########## Tcl recorder starts at 05/18/14 14:48:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 14:48:07 ########### ########## Tcl recorder starts at 05/18/14 14:48:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 14:48:30 ########### ########## Tcl recorder starts at 05/18/14 14:49:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 14:49:29 ########### ########## Tcl recorder starts at 05/18/14 14:49:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 14:49:40 ########### ########## Tcl recorder starts at 05/18/14 15:46:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:46:00 ########### ########## Tcl recorder starts at 05/18/14 15:46:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:46:03 ########### ########## Tcl recorder starts at 05/18/14 15:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:47:01 ########### ########## Tcl recorder starts at 05/18/14 15:47:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:47:19 ########### ########## Tcl recorder starts at 05/18/14 15:48:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:48:43 ########### ########## Tcl recorder starts at 05/18/14 15:48:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:48:48 ########### ########## Tcl recorder starts at 05/18/14 15:51:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:51:00 ########### ########## Tcl recorder starts at 05/18/14 15:51:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:51:05 ########### ########## Tcl recorder starts at 05/18/14 15:52:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:52:11 ########### ########## Tcl recorder starts at 05/18/14 15:52:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 15:52:20 ########### ########## Tcl recorder starts at 05/18/14 16:14:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:14:13 ########### ########## Tcl recorder starts at 05/18/14 16:14:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:14:19 ########### ########## Tcl recorder starts at 05/18/14 16:15:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:15:12 ########### ########## Tcl recorder starts at 05/18/14 16:15:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:15:16 ########### ########## Tcl recorder starts at 05/18/14 16:15:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:15:52 ########### ########## Tcl recorder starts at 05/18/14 16:15:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:15:56 ########### ########## Tcl recorder starts at 05/18/14 16:40:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:40:44 ########### ########## Tcl recorder starts at 05/18/14 16:40:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:40:50 ########### ########## Tcl recorder starts at 05/18/14 16:40:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:40:52 ########### ########## Tcl recorder starts at 05/18/14 16:41:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:41:12 ########### ########## Tcl recorder starts at 05/18/14 16:42:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:42:21 ########### ########## Tcl recorder starts at 05/18/14 16:42:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:42:27 ########### ########## Tcl recorder starts at 05/18/14 16:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:44:41 ########### ########## Tcl recorder starts at 05/18/14 16:44:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 16:44:54 ########### ########## Tcl recorder starts at 05/18/14 17:37:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 17:37:53 ########### ########## Tcl recorder starts at 05/18/14 20:26:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:26:28 ########### ########## Tcl recorder starts at 05/18/14 20:27:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:27:11 ########### ########## Tcl recorder starts at 05/18/14 20:27:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:27:16 ########### ########## Tcl recorder starts at 05/18/14 20:28:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:28:11 ########### ########## Tcl recorder starts at 05/18/14 20:28:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:28:41 ########### ########## Tcl recorder starts at 05/18/14 20:29:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:29:42 ########### ########## Tcl recorder starts at 05/18/14 20:29:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:29:58 ########### ########## Tcl recorder starts at 05/18/14 20:30:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:30:01 ########### ########## Tcl recorder starts at 05/18/14 20:30:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:30:59 ########### ########## Tcl recorder starts at 05/18/14 20:31:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:31:05 ########### ########## Tcl recorder starts at 05/18/14 20:32:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:32:00 ########### ########## Tcl recorder starts at 05/18/14 20:32:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:32:07 ########### ########## Tcl recorder starts at 05/18/14 20:32:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:32:09 ########### ########## Tcl recorder starts at 05/18/14 20:32:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:32:14 ########### ########## Tcl recorder starts at 05/18/14 20:33:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:33:40 ########### ########## Tcl recorder starts at 05/18/14 20:33:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:33:54 ########### ########## Tcl recorder starts at 05/18/14 20:34:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:34:00 ########### ########## Tcl recorder starts at 05/18/14 20:35:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:35:18 ########### ########## Tcl recorder starts at 05/18/14 20:35:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:35:22 ########### ########## Tcl recorder starts at 05/18/14 20:36:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:36:39 ########### ########## Tcl recorder starts at 05/18/14 20:36:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:36:42 ########### ########## Tcl recorder starts at 05/18/14 20:37:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:37:41 ########### ########## Tcl recorder starts at 05/18/14 20:37:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:37:49 ########### ########## Tcl recorder starts at 05/18/14 20:38:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:38:40 ########### ########## Tcl recorder starts at 05/18/14 20:39:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:39:23 ########### ########## Tcl recorder starts at 05/18/14 20:39:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:39:26 ########### ########## Tcl recorder starts at 05/18/14 20:39:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:39:38 ########### ########## Tcl recorder starts at 05/18/14 20:39:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:39:39 ########### ########## Tcl recorder starts at 05/18/14 20:43:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:43:16 ########### ########## Tcl recorder starts at 05/18/14 20:43:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:43:17 ########### ########## Tcl recorder starts at 05/18/14 20:46:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:46:25 ########### ########## Tcl recorder starts at 05/18/14 20:46:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:46:38 ########### ########## Tcl recorder starts at 05/18/14 20:46:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:46:42 ########### ########## Tcl recorder starts at 05/18/14 20:49:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:49:02 ########### ########## Tcl recorder starts at 05/18/14 20:49:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:49:30 ########### ########## Tcl recorder starts at 05/18/14 20:49:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:49:39 ########### ########## Tcl recorder starts at 05/18/14 20:50:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:50:11 ########### ########## Tcl recorder starts at 05/18/14 20:50:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:50:13 ########### ########## Tcl recorder starts at 05/18/14 20:50:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:50:53 ########### ########## Tcl recorder starts at 05/18/14 20:50:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:50:56 ########### ########## Tcl recorder starts at 05/18/14 20:52:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:52:51 ########### ########## Tcl recorder starts at 05/18/14 20:52:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:52:56 ########### ########## Tcl recorder starts at 05/18/14 20:54:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:54:01 ########### ########## Tcl recorder starts at 05/18/14 20:54:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:54:05 ########### ########## Tcl recorder starts at 05/18/14 20:55:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:55:10 ########### ########## Tcl recorder starts at 05/18/14 20:55:14 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:55:14 ########### ########## Tcl recorder starts at 05/18/14 20:56:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:56:39 ########### ########## Tcl recorder starts at 05/18/14 20:56:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:56:44 ########### ########## Tcl recorder starts at 05/18/14 20:57:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:57:43 ########### ########## Tcl recorder starts at 05/18/14 20:57:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:57:51 ########### ########## Tcl recorder starts at 05/18/14 20:58:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:58:24 ########### ########## Tcl recorder starts at 05/18/14 20:58:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:58:30 ########### ########## Tcl recorder starts at 05/18/14 20:59:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:59:48 ########### ########## Tcl recorder starts at 05/18/14 20:59:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 20:59:53 ########### ########## Tcl recorder starts at 05/18/14 21:00:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 21:00:32 ########### ########## Tcl recorder starts at 05/18/14 21:00:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 21:00:35 ########### ########## Tcl recorder starts at 05/18/14 21:01:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 21:01:36 ########### ########## Tcl recorder starts at 05/18/14 21:01:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/18/14 21:01:40 ########### ########## Tcl recorder starts at 05/21/14 19:55:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 19:55:50 ########### ########## Tcl recorder starts at 05/21/14 19:55:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 19:55:55 ########### ########## Tcl recorder starts at 05/21/14 19:57:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 19:57:36 ########### ########## Tcl recorder starts at 05/21/14 19:57:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 19:57:40 ########### ########## Tcl recorder starts at 05/21/14 19:58:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 19:58:45 ########### ########## Tcl recorder starts at 05/21/14 20:29:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:29:54 ########### ########## Tcl recorder starts at 05/21/14 20:29:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:29:57 ########### ########## Tcl recorder starts at 05/21/14 20:31:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:31:35 ########### ########## Tcl recorder starts at 05/21/14 20:31:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:31:45 ########### ########## Tcl recorder starts at 05/21/14 20:32:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:32:20 ########### ########## Tcl recorder starts at 05/21/14 20:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:32:23 ########### ########## Tcl recorder starts at 05/21/14 20:33:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:33:04 ########### ########## Tcl recorder starts at 05/21/14 20:33:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:33:07 ########### ########## Tcl recorder starts at 05/21/14 20:35:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:35:08 ########### ########## Tcl recorder starts at 05/21/14 20:35:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:35:14 ########### ########## Tcl recorder starts at 05/21/14 20:35:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:35:21 ########### ########## Tcl recorder starts at 05/21/14 20:35:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:35:35 ########### ########## Tcl recorder starts at 05/21/14 20:35:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:35:37 ########### ########## Tcl recorder starts at 05/21/14 20:36:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:36:44 ########### ########## Tcl recorder starts at 05/21/14 20:36:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:36:47 ########### ########## Tcl recorder starts at 05/21/14 20:45:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:45:03 ########### ########## Tcl recorder starts at 05/21/14 20:45:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:45:07 ########### ########## Tcl recorder starts at 05/21/14 20:50:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:50:27 ########### ########## Tcl recorder starts at 05/21/14 20:50:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 20:50:33 ########### ########## Tcl recorder starts at 05/21/14 21:11:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:11:37 ########### ########## Tcl recorder starts at 05/21/14 21:11:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:11:43 ########### ########## Tcl recorder starts at 05/21/14 21:16:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:16:14 ########### ########## Tcl recorder starts at 05/21/14 21:16:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:16:17 ########### ########## Tcl recorder starts at 05/21/14 21:18:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:18:01 ########### ########## Tcl recorder starts at 05/21/14 21:18:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:18:06 ########### ########## Tcl recorder starts at 05/21/14 21:44:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:44:30 ########### ########## Tcl recorder starts at 05/21/14 21:44:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:44:34 ########### ########## Tcl recorder starts at 05/21/14 21:46:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:46:53 ########### ########## Tcl recorder starts at 05/21/14 21:47:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:47:06 ########### ########## Tcl recorder starts at 05/21/14 21:48:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:48:40 ########### ########## Tcl recorder starts at 05/21/14 21:48:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:48:43 ########### ########## Tcl recorder starts at 05/21/14 21:52:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:52:13 ########### ########## Tcl recorder starts at 05/21/14 21:52:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/21/14 21:52:18 ########### ########## Tcl recorder starts at 05/22/14 14:26:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:26:55 ########### ########## Tcl recorder starts at 05/22/14 14:32:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:32:18 ########### ########## Tcl recorder starts at 05/22/14 14:32:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:32:23 ########### ########## Tcl recorder starts at 05/22/14 14:33:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:33:52 ########### ########## Tcl recorder starts at 05/22/14 14:33:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:33:55 ########### ########## Tcl recorder starts at 05/22/14 14:55:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:55:59 ########### ########## Tcl recorder starts at 05/22/14 14:56:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/22/14 14:56:03 ########### ########## Tcl recorder starts at 05/23/14 09:15:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:15:54 ########### ########## Tcl recorder starts at 05/23/14 09:15:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:15:59 ########### ########## Tcl recorder starts at 05/23/14 09:18:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:18:16 ########### ########## Tcl recorder starts at 05/23/14 09:18:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:18:24 ########### ########## Tcl recorder starts at 05/23/14 09:18:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:18:26 ########### ########## Tcl recorder starts at 05/23/14 09:20:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:20:04 ########### ########## Tcl recorder starts at 05/23/14 09:20:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:20:10 ########### ########## Tcl recorder starts at 05/23/14 09:20:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:20:58 ########### ########## Tcl recorder starts at 05/23/14 09:22:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:22:03 ########### ########## Tcl recorder starts at 05/23/14 09:22:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:22:30 ########### ########## Tcl recorder starts at 05/23/14 09:22:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:22:34 ########### ########## Tcl recorder starts at 05/23/14 09:24:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:24:00 ########### ########## Tcl recorder starts at 05/23/14 09:24:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:24:04 ########### ########## Tcl recorder starts at 05/23/14 09:25:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:25:06 ########### ########## Tcl recorder starts at 05/23/14 09:25:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:25:14 ########### ########## Tcl recorder starts at 05/23/14 09:26:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:26:41 ########### ########## Tcl recorder starts at 05/23/14 09:26:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:26:46 ########### ########## Tcl recorder starts at 05/23/14 09:28:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:28:26 ########### ########## Tcl recorder starts at 05/23/14 09:28:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:28:29 ########### ########## Tcl recorder starts at 05/23/14 09:31:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:31:44 ########### ########## Tcl recorder starts at 05/23/14 09:31:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:31:56 ########### ########## Tcl recorder starts at 05/23/14 09:32:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:32:00 ########### ########## Tcl recorder starts at 05/23/14 09:32:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:32:56 ########### ########## Tcl recorder starts at 05/23/14 09:33:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:33:09 ########### ########## Tcl recorder starts at 05/23/14 09:33:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:33:20 ########### ########## Tcl recorder starts at 05/23/14 09:33:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:33:26 ########### ########## Tcl recorder starts at 05/23/14 09:34:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:34:09 ########### ########## Tcl recorder starts at 05/23/14 09:34:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:34:18 ########### ########## Tcl recorder starts at 05/23/14 09:34:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:34:42 ########### ########## Tcl recorder starts at 05/23/14 09:34:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:34:49 ########### ########## Tcl recorder starts at 05/23/14 09:36:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:36:04 ########### ########## Tcl recorder starts at 05/23/14 09:36:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 09:36:08 ########### ########## Tcl recorder starts at 05/23/14 13:03:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:03:41 ########### ########## Tcl recorder starts at 05/23/14 13:03:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:03:56 ########### ########## Tcl recorder starts at 05/23/14 13:04:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:04:02 ########### ########## Tcl recorder starts at 05/23/14 13:04:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:04:59 ########### ########## Tcl recorder starts at 05/23/14 13:05:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:05:10 ########### ########## Tcl recorder starts at 05/23/14 13:05:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:05:38 ########### ########## Tcl recorder starts at 05/23/14 13:05:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:05:40 ########### ########## Tcl recorder starts at 05/23/14 13:12:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:12:44 ########### ########## Tcl recorder starts at 05/23/14 13:12:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:12:49 ########### ########## Tcl recorder starts at 05/23/14 13:13:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:13:55 ########### ########## Tcl recorder starts at 05/23/14 13:13:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:13:59 ########### ########## Tcl recorder starts at 05/23/14 13:16:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:16:57 ########### ########## Tcl recorder starts at 05/23/14 13:17:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:17:04 ########### ########## Tcl recorder starts at 05/23/14 13:18:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:18:23 ########### ########## Tcl recorder starts at 05/23/14 13:18:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:18:25 ########### ########## Tcl recorder starts at 05/23/14 13:27:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:27:35 ########### ########## Tcl recorder starts at 05/23/14 13:27:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:27:46 ########### ########## Tcl recorder starts at 05/23/14 13:27:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:27:49 ########### ########## Tcl recorder starts at 05/23/14 13:28:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:28:21 ########### ########## Tcl recorder starts at 05/23/14 13:28:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:28:25 ########### ########## Tcl recorder starts at 05/23/14 13:29:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:29:14 ########### ########## Tcl recorder starts at 05/23/14 13:29:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:29:17 ########### ########## Tcl recorder starts at 05/23/14 13:30:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:30:32 ########### ########## Tcl recorder starts at 05/23/14 13:30:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:30:39 ########### ########## Tcl recorder starts at 05/23/14 13:32:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:32:49 ########### ########## Tcl recorder starts at 05/23/14 13:33:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:33:10 ########### ########## Tcl recorder starts at 05/23/14 13:33:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:33:47 ########### ########## Tcl recorder starts at 05/23/14 13:33:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:33:52 ########### ########## Tcl recorder starts at 05/23/14 13:34:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:34:46 ########### ########## Tcl recorder starts at 05/23/14 13:34:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 13:34:49 ########### ########## Tcl recorder starts at 05/23/14 14:31:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:31:25 ########### ########## Tcl recorder starts at 05/23/14 14:31:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:31:29 ########### ########## Tcl recorder starts at 05/23/14 14:32:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:32:20 ########### ########## Tcl recorder starts at 05/23/14 14:32:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:32:27 ########### ########## Tcl recorder starts at 05/23/14 14:33:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:33:49 ########### ########## Tcl recorder starts at 05/23/14 14:33:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:33:54 ########### ########## Tcl recorder starts at 05/23/14 14:35:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:35:03 ########### ########## Tcl recorder starts at 05/23/14 14:35:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:35:07 ########### ########## Tcl recorder starts at 05/23/14 14:36:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:36:16 ########### ########## Tcl recorder starts at 05/23/14 14:36:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:36:20 ########### ########## Tcl recorder starts at 05/23/14 14:36:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:36:48 ########### ########## Tcl recorder starts at 05/23/14 14:36:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:36:53 ########### ########## Tcl recorder starts at 05/23/14 14:38:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:38:03 ########### ########## Tcl recorder starts at 05/23/14 14:38:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:38:07 ########### ########## Tcl recorder starts at 05/23/14 14:39:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:39:02 ########### ########## Tcl recorder starts at 05/23/14 14:39:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:39:09 ########### ########## Tcl recorder starts at 05/23/14 14:39:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:39:46 ########### ########## Tcl recorder starts at 05/23/14 14:39:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:39:53 ########### ########## Tcl recorder starts at 05/23/14 14:39:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:39:58 ########### ########## Tcl recorder starts at 05/23/14 14:40:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:40:34 ########### ########## Tcl recorder starts at 05/23/14 14:40:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:40:46 ########### ########## Tcl recorder starts at 05/23/14 14:41:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:41:54 ########### ########## Tcl recorder starts at 05/23/14 14:42:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:42:24 ########### ########## Tcl recorder starts at 05/23/14 14:42:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:42:38 ########### ########## Tcl recorder starts at 05/23/14 14:42:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:42:59 ########### ########## Tcl recorder starts at 05/23/14 14:43:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:43:04 ########### ########## Tcl recorder starts at 05/23/14 14:44:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:44:01 ########### ########## Tcl recorder starts at 05/23/14 14:44:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:44:07 ########### ########## Tcl recorder starts at 05/23/14 14:45:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:45:26 ########### ########## Tcl recorder starts at 05/23/14 14:45:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:45:28 ########### ########## Tcl recorder starts at 05/23/14 14:47:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:47:07 ########### ########## Tcl recorder starts at 05/23/14 14:47:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:47:12 ########### ########## Tcl recorder starts at 05/23/14 14:50:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:50:08 ########### ########## Tcl recorder starts at 05/23/14 14:50:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:50:12 ########### ########## Tcl recorder starts at 05/23/14 14:51:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:51:46 ########### ########## Tcl recorder starts at 05/23/14 14:51:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:51:50 ########### ########## Tcl recorder starts at 05/23/14 14:52:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:52:08 ########### ########## Tcl recorder starts at 05/23/14 14:52:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:52:14 ########### ########## Tcl recorder starts at 05/23/14 14:52:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:52:20 ########### ########## Tcl recorder starts at 05/23/14 14:52:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/23/14 14:52:22 ########### ########## Tcl recorder starts at 05/24/14 09:55:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 09:55:09 ########### ########## Tcl recorder starts at 05/24/14 10:00:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:00:24 ########### ########## Tcl recorder starts at 05/24/14 10:00:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:00:38 ########### ########## Tcl recorder starts at 05/24/14 10:01:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:01:40 ########### ########## Tcl recorder starts at 05/24/14 10:01:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:01:44 ########### ########## Tcl recorder starts at 05/24/14 10:08:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:08:06 ########### ########## Tcl recorder starts at 05/24/14 10:08:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:08:11 ########### ########## Tcl recorder starts at 05/24/14 10:15:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:15:36 ########### ########## Tcl recorder starts at 05/24/14 10:15:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:15:36 ########### ########## Tcl recorder starts at 05/24/14 10:16:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:16:39 ########### ########## Tcl recorder starts at 05/24/14 10:16:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:16:39 ########### ########## Tcl recorder starts at 05/24/14 10:17:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:17:19 ########### ########## Tcl recorder starts at 05/24/14 10:17:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:17:19 ########### ########## Tcl recorder starts at 05/24/14 10:34:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:34:16 ########### ########## Tcl recorder starts at 05/24/14 10:34:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:34:16 ########### ########## Tcl recorder starts at 05/24/14 10:35:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:35:47 ########### ########## Tcl recorder starts at 05/24/14 10:35:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:35:47 ########### ########## Tcl recorder starts at 05/24/14 10:37:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:37:24 ########### ########## Tcl recorder starts at 05/24/14 10:37:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:37:24 ########### ########## Tcl recorder starts at 05/24/14 10:38:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:38:11 ########### ########## Tcl recorder starts at 05/24/14 10:38:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:38:11 ########### ########## Tcl recorder starts at 05/24/14 10:39:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:39:06 ########### ########## Tcl recorder starts at 05/24/14 10:39:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:39:06 ########### ########## Tcl recorder starts at 05/24/14 10:41:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:41:37 ########### ########## Tcl recorder starts at 05/24/14 10:41:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:41:38 ########### ########## Tcl recorder starts at 05/24/14 10:42:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:42:14 ########### ########## Tcl recorder starts at 05/24/14 10:42:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:42:15 ########### ########## Tcl recorder starts at 05/24/14 10:43:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:43:38 ########### ########## Tcl recorder starts at 05/24/14 10:43:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:43:39 ########### ########## Tcl recorder starts at 05/24/14 10:44:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:44:26 ########### ########## Tcl recorder starts at 05/24/14 10:44:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 10:44:26 ########### ########## Tcl recorder starts at 05/24/14 11:44:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 11:44:02 ########### ########## Tcl recorder starts at 05/24/14 11:44:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 11:44:02 ########### ########## Tcl recorder starts at 05/24/14 15:22:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:22:31 ########### ########## Tcl recorder starts at 05/24/14 15:22:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:22:31 ########### ########## Tcl recorder starts at 05/24/14 15:23:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:23:51 ########### ########## Tcl recorder starts at 05/24/14 15:23:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:23:51 ########### ########## Tcl recorder starts at 05/24/14 15:46:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:46:27 ########### ########## Tcl recorder starts at 05/24/14 15:46:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:46:27 ########### ########## Tcl recorder starts at 05/24/14 15:47:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:47:05 ########### ########## Tcl recorder starts at 05/24/14 15:47:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:47:05 ########### ########## Tcl recorder starts at 05/24/14 15:48:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:48:43 ########### ########## Tcl recorder starts at 05/24/14 15:48:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 15:48:43 ########### ########## Tcl recorder starts at 05/24/14 16:09:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:09:48 ########### ########## Tcl recorder starts at 05/24/14 16:09:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:09:48 ########### ########## Tcl recorder starts at 05/24/14 16:11:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:11:44 ########### ########## Tcl recorder starts at 05/24/14 16:11:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:11:44 ########### ########## Tcl recorder starts at 05/24/14 16:28:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:28:20 ########### ########## Tcl recorder starts at 05/24/14 16:28:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:28:20 ########### ########## Tcl recorder starts at 05/24/14 16:29:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:29:20 ########### ########## Tcl recorder starts at 05/24/14 16:29:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:29:58 ########### ########## Tcl recorder starts at 05/24/14 16:29:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 16:29:58 ########### ########## Tcl recorder starts at 05/24/14 18:04:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:04:30 ########### ########## Tcl recorder starts at 05/24/14 18:04:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:04:30 ########### ########## Tcl recorder starts at 05/24/14 18:33:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:33:49 ########### ########## Tcl recorder starts at 05/24/14 18:33:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:33:49 ########### ########## Tcl recorder starts at 05/24/14 18:36:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:36:46 ########### ########## Tcl recorder starts at 05/24/14 18:36:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 18:36:46 ########### ########## Tcl recorder starts at 05/24/14 19:56:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 19:56:13 ########### ########## Tcl recorder starts at 05/24/14 19:56:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 19:56:13 ########### ########## Tcl recorder starts at 05/24/14 20:54:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:54:19 ########### ########## Tcl recorder starts at 05/24/14 20:54:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:54:19 ########### ########## Tcl recorder starts at 05/24/14 20:55:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:55:08 ########### ########## Tcl recorder starts at 05/24/14 20:55:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:55:08 ########### ########## Tcl recorder starts at 05/24/14 20:55:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:55:26 ########### ########## Tcl recorder starts at 05/24/14 20:55:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:55:26 ########### ########## Tcl recorder starts at 05/24/14 20:55:46 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 20:55:46 ########### ########## Tcl recorder starts at 05/24/14 21:02:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:02:17 ########### ########## Tcl recorder starts at 05/24/14 21:02:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:02:17 ########### ########## Tcl recorder starts at 05/24/14 21:02:35 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:02:35 ########### ########## Tcl recorder starts at 05/24/14 21:03:49 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:03:49 ########### ########## Tcl recorder starts at 05/24/14 21:08:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:08:23 ########### ########## Tcl recorder starts at 05/24/14 21:08:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:08:23 ########### ########## Tcl recorder starts at 05/24/14 21:11:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:11:08 ########### ########## Tcl recorder starts at 05/24/14 21:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:11:08 ########### ########## Tcl recorder starts at 05/24/14 21:12:52 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:12:52 ########### ########## Tcl recorder starts at 05/24/14 21:13:22 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:13:22 ########### ########## Tcl recorder starts at 05/24/14 21:17:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:17:22 ########### ########## Tcl recorder starts at 05/24/14 21:17:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:17:22 ########### ########## Tcl recorder starts at 05/24/14 21:20:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:20:44 ########### ########## Tcl recorder starts at 05/24/14 21:20:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:20:45 ########### ########## Tcl recorder starts at 05/24/14 21:21:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:21:27 ########### ########## Tcl recorder starts at 05/24/14 21:21:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:21:27 ########### ########## Tcl recorder starts at 05/24/14 21:23:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:23:59 ########### ########## Tcl recorder starts at 05/24/14 21:23:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:23:59 ########### ########## Tcl recorder starts at 05/24/14 21:24:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:24:27 ########### ########## Tcl recorder starts at 05/24/14 21:24:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:24:27 ########### ########## Tcl recorder starts at 05/24/14 21:25:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:25:21 ########### ########## Tcl recorder starts at 05/24/14 21:25:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:25:22 ########### ########## Tcl recorder starts at 05/24/14 21:25:37 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:25:37 ########### ########## Tcl recorder starts at 05/24/14 21:27:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:27:13 ########### ########## Tcl recorder starts at 05/24/14 21:27:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:27:14 ########### ########## Tcl recorder starts at 05/24/14 21:28:02 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:28:02 ########### ########## Tcl recorder starts at 05/24/14 21:28:25 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:28:25 ########### ########## Tcl recorder starts at 05/24/14 21:29:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:29:58 ########### ########## Tcl recorder starts at 05/24/14 21:29:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:29:59 ########### ########## Tcl recorder starts at 05/24/14 21:49:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:49:46 ########### ########## Tcl recorder starts at 05/24/14 21:49:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:49:47 ########### ########## Tcl recorder starts at 05/24/14 21:50:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:50:41 ########### ########## Tcl recorder starts at 05/24/14 21:50:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:50:41 ########### ########## Tcl recorder starts at 05/24/14 21:51:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:51:55 ########### ########## Tcl recorder starts at 05/24/14 21:51:55 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:51:55 ########### ########## Tcl recorder starts at 05/24/14 21:52:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:52:20 ########### ########## Tcl recorder starts at 05/24/14 21:52:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:52:20 ########### ########## Tcl recorder starts at 05/24/14 21:55:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:55:14 ########### ########## Tcl recorder starts at 05/24/14 21:55:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:55:14 ########### ########## Tcl recorder starts at 05/24/14 21:56:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:56:14 ########### ########## Tcl recorder starts at 05/24/14 21:56:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:56:15 ########### ########## Tcl recorder starts at 05/24/14 21:56:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:56:40 ########### ########## Tcl recorder starts at 05/24/14 21:56:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:56:41 ########### ########## Tcl recorder starts at 05/24/14 21:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:59:07 ########### ########## Tcl recorder starts at 05/24/14 21:59:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 21:59:07 ########### ########## Tcl recorder starts at 05/24/14 22:06:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:06:12 ########### ########## Tcl recorder starts at 05/24/14 22:06:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:06:12 ########### ########## Tcl recorder starts at 05/24/14 22:07:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:07:08 ########### ########## Tcl recorder starts at 05/24/14 22:07:08 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:07:08 ########### ########## Tcl recorder starts at 05/24/14 22:11:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:11:22 ########### ########## Tcl recorder starts at 05/24/14 22:11:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:11:23 ########### ########## Tcl recorder starts at 05/24/14 22:12:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:12:37 ########### ########## Tcl recorder starts at 05/24/14 22:12:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:12:48 ########### ########## Tcl recorder starts at 05/24/14 22:12:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/24/14 22:12:48 ########### ########## Tcl recorder starts at 05/25/14 09:08:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:08:41 ########### ########## Tcl recorder starts at 05/25/14 09:08:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:08:42 ########### ########## Tcl recorder starts at 05/25/14 09:11:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:11:11 ########### ########## Tcl recorder starts at 05/25/14 09:11:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:11:11 ########### ########## Tcl recorder starts at 05/25/14 09:22:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:22:43 ########### ########## Tcl recorder starts at 05/25/14 09:22:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:22:43 ########### ########## Tcl recorder starts at 05/25/14 09:31:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:31:16 ########### ########## Tcl recorder starts at 05/25/14 09:31:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:31:16 ########### ########## Tcl recorder starts at 05/25/14 09:32:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:32:41 ########### ########## Tcl recorder starts at 05/25/14 09:32:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:32:41 ########### ########## Tcl recorder starts at 05/25/14 09:36:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:36:10 ########### ########## Tcl recorder starts at 05/25/14 09:36:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:36:10 ########### ########## Tcl recorder starts at 05/25/14 09:37:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:37:18 ########### ########## Tcl recorder starts at 05/25/14 09:37:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:37:18 ########### ########## Tcl recorder starts at 05/25/14 09:41:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:41:54 ########### ########## Tcl recorder starts at 05/25/14 09:41:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:41:55 ########### ########## Tcl recorder starts at 05/25/14 09:43:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:43:07 ########### ########## Tcl recorder starts at 05/25/14 09:43:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:43:07 ########### ########## Tcl recorder starts at 05/25/14 09:45:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:45:41 ########### ########## Tcl recorder starts at 05/25/14 09:45:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:45:42 ########### ########## Tcl recorder starts at 05/25/14 09:47:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:47:09 ########### ########## Tcl recorder starts at 05/25/14 09:47:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 09:47:10 ########### ########## Tcl recorder starts at 05/25/14 13:14:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:14:12 ########### ########## Tcl recorder starts at 05/25/14 13:14:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:14:12 ########### ########## Tcl recorder starts at 05/25/14 13:15:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:15:09 ########### ########## Tcl recorder starts at 05/25/14 13:15:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:15:10 ########### ########## Tcl recorder starts at 05/25/14 13:16:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:16:58 ########### ########## Tcl recorder starts at 05/25/14 13:16:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:16:58 ########### ########## Tcl recorder starts at 05/25/14 13:18:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:18:21 ########### ########## Tcl recorder starts at 05/25/14 13:18:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:18:21 ########### ########## Tcl recorder starts at 05/25/14 13:19:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:19:31 ########### ########## Tcl recorder starts at 05/25/14 13:19:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:19:32 ########### ########## Tcl recorder starts at 05/25/14 13:22:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:22:13 ########### ########## Tcl recorder starts at 05/25/14 13:22:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:22:13 ########### ########## Tcl recorder starts at 05/25/14 13:24:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:24:34 ########### ########## Tcl recorder starts at 05/25/14 13:24:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:24:34 ########### ########## Tcl recorder starts at 05/25/14 13:27:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:27:05 ########### ########## Tcl recorder starts at 05/25/14 13:27:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:27:05 ########### ########## Tcl recorder starts at 05/25/14 13:48:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:48:52 ########### ########## Tcl recorder starts at 05/25/14 13:48:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:48:52 ########### ########## Tcl recorder starts at 05/25/14 13:50:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:50:28 ########### ########## Tcl recorder starts at 05/25/14 13:50:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:50:29 ########### ########## Tcl recorder starts at 05/25/14 13:53:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:53:02 ########### ########## Tcl recorder starts at 05/25/14 13:53:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:53:02 ########### ########## Tcl recorder starts at 05/25/14 13:55:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:55:28 ########### ########## Tcl recorder starts at 05/25/14 13:55:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:55:28 ########### ########## Tcl recorder starts at 05/25/14 13:56:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:56:23 ########### ########## Tcl recorder starts at 05/25/14 13:56:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 13:56:24 ########### ########## Tcl recorder starts at 05/25/14 14:10:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:10:15 ########### ########## Tcl recorder starts at 05/25/14 14:10:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:10:15 ########### ########## Tcl recorder starts at 05/25/14 14:11:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:11:07 ########### ########## Tcl recorder starts at 05/25/14 14:11:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:11:08 ########### ########## Tcl recorder starts at 05/25/14 14:12:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:12:00 ########### ########## Tcl recorder starts at 05/25/14 14:12:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:12:00 ########### ########## Tcl recorder starts at 05/25/14 14:13:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:13:25 ########### ########## Tcl recorder starts at 05/25/14 14:13:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:13:25 ########### ########## Tcl recorder starts at 05/25/14 14:44:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:44:29 ########### ########## Tcl recorder starts at 05/25/14 14:44:29 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:44:29 ########### ########## Tcl recorder starts at 05/25/14 14:45:31 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:45:31 ########### ########## Tcl recorder starts at 05/25/14 14:45:44 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:45:44 ########### ########## Tcl recorder starts at 05/25/14 14:45:52 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:45:52 ########### ########## Tcl recorder starts at 05/25/14 14:46:00 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:46:01 ########### ########## Tcl recorder starts at 05/25/14 14:46:15 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:46:15 ########### ########## Tcl recorder starts at 05/25/14 14:55:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:55:57 ########### ########## Tcl recorder starts at 05/25/14 14:55:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:55:58 ########### ########## Tcl recorder starts at 05/25/14 14:56:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:56:37 ########### ########## Tcl recorder starts at 05/25/14 14:56:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:56:37 ########### ########## Tcl recorder starts at 05/25/14 14:56:52 ########## # Commands to make the Process: # Timing Report if [runCmd "\"$cpld_bin/timer\" -inp \"68030_tk.tte\" -lci \"68030_tk.lct\" -stamp \"68030_tk.trp\" -exf \"BUS68030.exf\" -lco \"68030_tk.lco\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 14:56:52 ########### ########## Tcl recorder starts at 05/25/14 20:50:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:50:34 ########### ########## Tcl recorder starts at 05/25/14 20:50:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:50:35 ########### ########## Tcl recorder starts at 05/25/14 20:54:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:54:32 ########### ########## Tcl recorder starts at 05/25/14 20:54:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:54:33 ########### ########## Tcl recorder starts at 05/25/14 20:57:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:57:45 ########### ########## Tcl recorder starts at 05/25/14 20:57:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 20:57:45 ########### ########## Tcl recorder starts at 05/25/14 21:02:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:02:17 ########### ########## Tcl recorder starts at 05/25/14 21:02:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:02:18 ########### ########## Tcl recorder starts at 05/25/14 21:03:32 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:03:32 ########### ########## Tcl recorder starts at 05/25/14 21:03:35 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:03:35 ########### ########## Tcl recorder starts at 05/25/14 21:04:59 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:04:59 ########### ########## Tcl recorder starts at 05/25/14 21:05:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:05:08 ########### ########## Tcl recorder starts at 05/25/14 21:07:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:07:19 ########### ########## Tcl recorder starts at 05/25/14 21:07:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:07:20 ########### ########## Tcl recorder starts at 05/25/14 21:10:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:10:05 ########### ########## Tcl recorder starts at 05/25/14 21:10:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:10:05 ########### ########## Tcl recorder starts at 05/25/14 21:12:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:12:15 ########### ########## Tcl recorder starts at 05/25/14 21:12:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:12:15 ########### ########## Tcl recorder starts at 05/25/14 21:13:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:13:08 ########### ########## Tcl recorder starts at 05/25/14 21:13:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:13:08 ########### ########## Tcl recorder starts at 05/25/14 21:15:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:15:27 ########### ########## Tcl recorder starts at 05/25/14 21:15:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:15:27 ########### ########## Tcl recorder starts at 05/25/14 21:16:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:16:48 ########### ########## Tcl recorder starts at 05/25/14 21:16:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:16:49 ########### ########## Tcl recorder starts at 05/25/14 21:18:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:18:43 ########### ########## Tcl recorder starts at 05/25/14 21:18:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:18:44 ########### ########## Tcl recorder starts at 05/25/14 21:35:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:35:35 ########### ########## Tcl recorder starts at 05/25/14 21:35:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:35:35 ########### ########## Tcl recorder starts at 05/25/14 21:36:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:36:51 ########### ########## Tcl recorder starts at 05/25/14 21:36:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:36:51 ########### ########## Tcl recorder starts at 05/25/14 21:38:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:38:15 ########### ########## Tcl recorder starts at 05/25/14 21:38:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:38:15 ########### ########## Tcl recorder starts at 05/25/14 21:40:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:40:17 ########### ########## Tcl recorder starts at 05/25/14 21:40:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:40:17 ########### ########## Tcl recorder starts at 05/25/14 21:45:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:45:38 ########### ########## Tcl recorder starts at 05/25/14 21:45:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:45:39 ########### ########## Tcl recorder starts at 05/25/14 21:45:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:45:58 ########### ########## Tcl recorder starts at 05/25/14 21:45:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/25/14 21:45:58 ########### ########## Tcl recorder starts at 05/26/14 18:16:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:16:00 ########### ########## Tcl recorder starts at 05/26/14 18:16:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:16:01 ########### ########## Tcl recorder starts at 05/26/14 18:16:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:16:28 ########### ########## Tcl recorder starts at 05/26/14 18:16:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:16:28 ########### ########## Tcl recorder starts at 05/26/14 18:21:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:21:12 ########### ########## Tcl recorder starts at 05/26/14 18:21:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:21:12 ########### ########## Tcl recorder starts at 05/26/14 18:22:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:22:36 ########### ########## Tcl recorder starts at 05/26/14 18:22:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:22:37 ########### ########## Tcl recorder starts at 05/26/14 18:40:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:40:51 ########### ########## Tcl recorder starts at 05/26/14 18:40:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/26/14 18:40:51 ########### ########## Tcl recorder starts at 05/27/14 18:06:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 18:06:27 ########### ########## Tcl recorder starts at 05/27/14 18:06:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 18:06:27 ########### ########## Tcl recorder starts at 05/27/14 18:07:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 18:07:41 ########### ########## Tcl recorder starts at 05/27/14 18:07:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 18:07:41 ########### ########## Tcl recorder starts at 05/27/14 19:31:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 19:31:05 ########### ########## Tcl recorder starts at 05/27/14 19:31:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 19:31:06 ########### ########## Tcl recorder starts at 05/27/14 19:32:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 19:32:19 ########### ########## Tcl recorder starts at 05/27/14 19:32:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 19:32:19 ########### ########## Tcl recorder starts at 05/27/14 20:02:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 20:02:19 ########### ########## Tcl recorder starts at 05/27/14 20:02:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 20:02:20 ########### ########## Tcl recorder starts at 05/27/14 20:05:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 20:05:21 ########### ########## Tcl recorder starts at 05/27/14 20:05:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/27/14 20:05:21 ########### ########## Tcl recorder starts at 05/28/14 13:55:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 13:55:54 ########### ########## Tcl recorder starts at 05/28/14 13:55:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 13:55:54 ########### ########## Tcl recorder starts at 05/28/14 21:22:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:22:42 ########### ########## Tcl recorder starts at 05/28/14 21:22:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:22:42 ########### ########## Tcl recorder starts at 05/28/14 21:24:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:24:48 ########### ########## Tcl recorder starts at 05/28/14 21:24:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:24:48 ########### ########## Tcl recorder starts at 05/28/14 21:40:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:40:24 ########### ########## Tcl recorder starts at 05/28/14 21:40:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:40:25 ########### ########## Tcl recorder starts at 05/28/14 21:50:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:50:01 ########### ########## Tcl recorder starts at 05/28/14 21:50:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:50:01 ########### ########## Tcl recorder starts at 05/28/14 21:53:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:53:11 ########### ########## Tcl recorder starts at 05/28/14 21:53:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:53:11 ########### ########## Tcl recorder starts at 05/28/14 21:55:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:55:05 ########### ########## Tcl recorder starts at 05/28/14 21:55:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:55:06 ########### ########## Tcl recorder starts at 05/28/14 21:56:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:56:13 ########### ########## Tcl recorder starts at 05/28/14 21:56:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:56:13 ########### ########## Tcl recorder starts at 05/28/14 21:58:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:58:59 ########### ########## Tcl recorder starts at 05/28/14 21:58:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 21:58:59 ########### ########## Tcl recorder starts at 05/28/14 22:08:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:08:21 ########### ########## Tcl recorder starts at 05/28/14 22:08:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:08:21 ########### ########## Tcl recorder starts at 05/28/14 22:10:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:10:12 ########### ########## Tcl recorder starts at 05/28/14 22:10:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:10:12 ########### ########## Tcl recorder starts at 05/28/14 22:11:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:11:47 ########### ########## Tcl recorder starts at 05/28/14 22:11:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:11:47 ########### ########## Tcl recorder starts at 05/28/14 22:12:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:12:43 ########### ########## Tcl recorder starts at 05/28/14 22:12:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:12:43 ########### ########## Tcl recorder starts at 05/28/14 22:16:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:16:53 ########### ########## Tcl recorder starts at 05/28/14 22:16:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:16:54 ########### ########## Tcl recorder starts at 05/28/14 22:19:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:19:20 ########### ########## Tcl recorder starts at 05/28/14 22:19:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:19:20 ########### ########## Tcl recorder starts at 05/28/14 22:21:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:21:53 ########### ########## Tcl recorder starts at 05/28/14 22:21:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:21:53 ########### ########## Tcl recorder starts at 05/28/14 22:24:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:24:56 ########### ########## Tcl recorder starts at 05/28/14 22:24:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:24:56 ########### ########## Tcl recorder starts at 05/28/14 22:26:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:26:04 ########### ########## Tcl recorder starts at 05/28/14 22:26:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/28/14 22:26:04 ########### ########## Tcl recorder starts at 05/29/14 11:22:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:22:56 ########### ########## Tcl recorder starts at 05/29/14 11:22:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:22:56 ########### ########## Tcl recorder starts at 05/29/14 11:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:24:14 ########### ########## Tcl recorder starts at 05/29/14 11:24:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:24:14 ########### ########## Tcl recorder starts at 05/29/14 11:36:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:36:28 ########### ########## Tcl recorder starts at 05/29/14 11:36:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:36:29 ########### ########## Tcl recorder starts at 05/29/14 11:36:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:36:58 ########### ########## Tcl recorder starts at 05/29/14 11:36:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:36:58 ########### ########## Tcl recorder starts at 05/29/14 11:39:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:39:00 ########### ########## Tcl recorder starts at 05/29/14 11:39:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:39:00 ########### ########## Tcl recorder starts at 05/29/14 11:41:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:41:30 ########### ########## Tcl recorder starts at 05/29/14 11:41:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:41:31 ########### ########## Tcl recorder starts at 05/29/14 11:43:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:43:56 ########### ########## Tcl recorder starts at 05/29/14 11:43:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:43:56 ########### ########## Tcl recorder starts at 05/29/14 11:44:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:44:39 ########### ########## Tcl recorder starts at 05/29/14 11:44:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:44:39 ########### ########## Tcl recorder starts at 05/29/14 11:45:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:45:44 ########### ########## Tcl recorder starts at 05/29/14 11:45:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:45:44 ########### ########## Tcl recorder starts at 05/29/14 11:49:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:49:20 ########### ########## Tcl recorder starts at 05/29/14 11:49:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:49:20 ########### ########## Tcl recorder starts at 05/29/14 11:49:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:49:43 ########### ########## Tcl recorder starts at 05/29/14 11:49:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:49:43 ########### ########## Tcl recorder starts at 05/29/14 11:50:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:50:38 ########### ########## Tcl recorder starts at 05/29/14 11:50:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:50:38 ########### ########## Tcl recorder starts at 05/29/14 11:52:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:52:16 ########### ########## Tcl recorder starts at 05/29/14 11:52:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 11:52:16 ########### ########## Tcl recorder starts at 05/29/14 21:25:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:25:44 ########### ########## Tcl recorder starts at 05/29/14 21:25:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:25:44 ########### ########## Tcl recorder starts at 05/29/14 21:27:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:27:13 ########### ########## Tcl recorder starts at 05/29/14 21:27:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:27:13 ########### ########## Tcl recorder starts at 05/29/14 21:28:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:28:28 ########### ########## Tcl recorder starts at 05/29/14 21:28:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:28:28 ########### ########## Tcl recorder starts at 05/29/14 21:30:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:30:02 ########### ########## Tcl recorder starts at 05/29/14 21:30:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:30:02 ########### ########## Tcl recorder starts at 05/29/14 21:32:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:32:52 ########### ########## Tcl recorder starts at 05/29/14 21:32:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:32:53 ########### ########## Tcl recorder starts at 05/29/14 21:33:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:33:57 ########### ########## Tcl recorder starts at 05/29/14 21:33:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:33:57 ########### ########## Tcl recorder starts at 05/29/14 21:35:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:35:09 ########### ########## Tcl recorder starts at 05/29/14 21:35:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:35:09 ########### ########## Tcl recorder starts at 05/29/14 21:36:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:36:02 ########### ########## Tcl recorder starts at 05/29/14 21:36:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:36:02 ########### ########## Tcl recorder starts at 05/29/14 21:36:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:36:49 ########### ########## Tcl recorder starts at 05/29/14 21:36:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:36:49 ########### ########## Tcl recorder starts at 05/29/14 21:37:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:37:51 ########### ########## Tcl recorder starts at 05/29/14 21:37:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:37:51 ########### ########## Tcl recorder starts at 05/29/14 21:46:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:46:38 ########### ########## Tcl recorder starts at 05/29/14 21:46:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:46:38 ########### ########## Tcl recorder starts at 05/29/14 21:48:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:48:04 ########### ########## Tcl recorder starts at 05/29/14 21:48:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:48:05 ########### ########## Tcl recorder starts at 05/29/14 21:49:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:49:10 ########### ########## Tcl recorder starts at 05/29/14 21:49:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:49:10 ########### ########## Tcl recorder starts at 05/29/14 21:50:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:50:49 ########### ########## Tcl recorder starts at 05/29/14 21:50:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:50:49 ########### ########## Tcl recorder starts at 05/29/14 21:51:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:51:53 ########### ########## Tcl recorder starts at 05/29/14 21:51:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:51:53 ########### ########## Tcl recorder starts at 05/29/14 21:53:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:53:38 ########### ########## Tcl recorder starts at 05/29/14 21:53:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:53:39 ########### ########## Tcl recorder starts at 05/29/14 21:54:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:54:05 ########### ########## Tcl recorder starts at 05/29/14 21:54:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:54:05 ########### ########## Tcl recorder starts at 05/29/14 21:54:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:54:53 ########### ########## Tcl recorder starts at 05/29/14 21:54:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:54:53 ########### ########## Tcl recorder starts at 05/29/14 21:55:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:55:42 ########### ########## Tcl recorder starts at 05/29/14 21:55:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:55:42 ########### ########## Tcl recorder starts at 05/29/14 21:57:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:57:59 ########### ########## Tcl recorder starts at 05/29/14 21:57:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 21:57:59 ########### ########## Tcl recorder starts at 05/29/14 22:00:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:00:26 ########### ########## Tcl recorder starts at 05/29/14 22:00:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:00:26 ########### ########## Tcl recorder starts at 05/29/14 22:01:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:01:20 ########### ########## Tcl recorder starts at 05/29/14 22:01:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:01:20 ########### ########## Tcl recorder starts at 05/29/14 22:04:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:04:20 ########### ########## Tcl recorder starts at 05/29/14 22:04:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/29/14 22:04:20 ########### ########## Tcl recorder starts at 05/30/14 20:59:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 20:59:21 ########### ########## Tcl recorder starts at 05/30/14 20:59:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 20:59:22 ########### ########## Tcl recorder starts at 05/30/14 21:04:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:04:48 ########### ########## Tcl recorder starts at 05/30/14 21:04:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:04:48 ########### ########## Tcl recorder starts at 05/30/14 21:06:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:06:10 ########### ########## Tcl recorder starts at 05/30/14 21:06:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:06:10 ########### ########## Tcl recorder starts at 05/30/14 21:08:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:08:51 ########### ########## Tcl recorder starts at 05/30/14 21:08:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:08:52 ########### ########## Tcl recorder starts at 05/30/14 21:11:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:11:10 ########### ########## Tcl recorder starts at 05/30/14 21:11:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:11:10 ########### ########## Tcl recorder starts at 05/30/14 21:12:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:12:08 ########### ########## Tcl recorder starts at 05/30/14 21:12:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:12:08 ########### ########## Tcl recorder starts at 05/30/14 21:13:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:13:21 ########### ########## Tcl recorder starts at 05/30/14 21:13:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:13:21 ########### ########## Tcl recorder starts at 05/30/14 21:14:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:14:43 ########### ########## Tcl recorder starts at 05/30/14 21:14:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:14:43 ########### ########## Tcl recorder starts at 05/30/14 21:15:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:15:29 ########### ########## Tcl recorder starts at 05/30/14 21:15:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:15:30 ########### ########## Tcl recorder starts at 05/30/14 21:16:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:16:46 ########### ########## Tcl recorder starts at 05/30/14 21:16:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:16:46 ########### ########## Tcl recorder starts at 05/30/14 21:17:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:17:55 ########### ########## Tcl recorder starts at 05/30/14 21:17:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:17:55 ########### ########## Tcl recorder starts at 05/30/14 21:18:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:18:50 ########### ########## Tcl recorder starts at 05/30/14 21:18:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:18:51 ########### ########## Tcl recorder starts at 05/30/14 21:19:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:19:29 ########### ########## Tcl recorder starts at 05/30/14 21:19:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:19:29 ########### ########## Tcl recorder starts at 05/30/14 21:21:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:21:49 ########### ########## Tcl recorder starts at 05/30/14 21:21:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:21:49 ########### ########## Tcl recorder starts at 05/30/14 21:24:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:24:49 ########### ########## Tcl recorder starts at 05/30/14 21:24:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:24:49 ########### ########## Tcl recorder starts at 05/30/14 21:27:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:27:29 ########### ########## Tcl recorder starts at 05/30/14 21:27:29 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:27:29 ########### ########## Tcl recorder starts at 05/30/14 21:28:35 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:28:35 ########### ########## Tcl recorder starts at 05/30/14 21:28:59 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:28:59 ########### ########## Tcl recorder starts at 05/30/14 21:29:31 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:29:31 ########### ########## Tcl recorder starts at 05/30/14 21:29:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:29:44 ########### ########## Tcl recorder starts at 05/30/14 21:31:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:31:33 ########### ########## Tcl recorder starts at 05/30/14 21:31:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:31:34 ########### ########## Tcl recorder starts at 05/30/14 21:33:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:33:50 ########### ########## Tcl recorder starts at 05/30/14 21:33:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:33:51 ########### ########## Tcl recorder starts at 05/30/14 21:37:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:37:13 ########### ########## Tcl recorder starts at 05/30/14 21:37:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:37:13 ########### ########## Tcl recorder starts at 05/30/14 21:39:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:39:27 ########### ########## Tcl recorder starts at 05/30/14 21:39:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:39:27 ########### ########## Tcl recorder starts at 05/30/14 21:41:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:41:02 ########### ########## Tcl recorder starts at 05/30/14 21:41:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:41:02 ########### ########## Tcl recorder starts at 05/30/14 21:44:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:44:52 ########### ########## Tcl recorder starts at 05/30/14 21:44:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:44:52 ########### ########## Tcl recorder starts at 05/30/14 21:45:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:45:08 ########### ########## Tcl recorder starts at 05/30/14 21:45:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/30/14 21:45:08 ########### ########## Tcl recorder starts at 05/31/14 10:54:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 10:54:44 ########### ########## Tcl recorder starts at 05/31/14 10:54:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 10:54:44 ########### ########## Tcl recorder starts at 05/31/14 10:55:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 10:55:59 ########### ########## Tcl recorder starts at 05/31/14 10:56:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 10:56:00 ########### ########## Tcl recorder starts at 05/31/14 20:30:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:30:31 ########### ########## Tcl recorder starts at 05/31/14 20:30:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:30:31 ########### ########## Tcl recorder starts at 05/31/14 20:33:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:33:47 ########### ########## Tcl recorder starts at 05/31/14 20:33:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:33:47 ########### ########## Tcl recorder starts at 05/31/14 20:39:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:39:30 ########### ########## Tcl recorder starts at 05/31/14 20:39:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:39:30 ########### ########## Tcl recorder starts at 05/31/14 20:47:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:47:46 ########### ########## Tcl recorder starts at 05/31/14 20:47:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 20:47:46 ########### ########## Tcl recorder starts at 05/31/14 21:18:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:18:37 ########### ########## Tcl recorder starts at 05/31/14 21:18:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:18:37 ########### ########## Tcl recorder starts at 05/31/14 21:19:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:19:31 ########### ########## Tcl recorder starts at 05/31/14 21:19:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:19:31 ########### ########## Tcl recorder starts at 05/31/14 21:20:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:20:19 ########### ########## Tcl recorder starts at 05/31/14 21:20:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:20:19 ########### ########## Tcl recorder starts at 05/31/14 21:20:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:20:46 ########### ########## Tcl recorder starts at 05/31/14 21:20:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:20:46 ########### ########## Tcl recorder starts at 05/31/14 21:21:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:21:07 ########### ########## Tcl recorder starts at 05/31/14 21:21:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 21:21:07 ########### ########## Tcl recorder starts at 05/31/14 23:57:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 23:57:54 ########### ########## Tcl recorder starts at 05/31/14 23:57:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 23:57:54 ########### ########## Tcl recorder starts at 05/31/14 23:59:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 23:59:00 ########### ########## Tcl recorder starts at 05/31/14 23:59:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 23:59:00 ########### ########## Tcl recorder starts at 05/31/14 23:59:15 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/31/14 23:59:15 ########### ########## Tcl recorder starts at 06/01/14 00:00:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:00:44 ########### ########## Tcl recorder starts at 06/01/14 00:06:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:06:22 ########### ########## Tcl recorder starts at 06/01/14 00:06:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:06:22 ########### ########## Tcl recorder starts at 06/01/14 00:06:37 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:06:37 ########### ########## Tcl recorder starts at 06/01/14 00:11:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:11:31 ########### ########## Tcl recorder starts at 06/01/14 00:11:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:11:32 ########### ########## Tcl recorder starts at 06/01/14 00:19:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:19:48 ########### ########## Tcl recorder starts at 06/01/14 00:19:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:19:48 ########### ########## Tcl recorder starts at 06/01/14 00:21:50 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:21:50 ########### ########## Tcl recorder starts at 06/01/14 00:30:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:30:48 ########### ########## Tcl recorder starts at 06/01/14 00:30:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:30:48 ########### ########## Tcl recorder starts at 06/01/14 00:32:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:32:36 ########### ########## Tcl recorder starts at 06/01/14 00:32:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:32:36 ########### ########## Tcl recorder starts at 06/01/14 00:34:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:34:43 ########### ########## Tcl recorder starts at 06/01/14 00:34:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:34:43 ########### ########## Tcl recorder starts at 06/01/14 00:40:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:40:33 ########### ########## Tcl recorder starts at 06/01/14 00:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:40:33 ########### ########## Tcl recorder starts at 06/01/14 00:44:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:44:45 ########### ########## Tcl recorder starts at 06/01/14 00:44:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:44:46 ########### ########## Tcl recorder starts at 06/01/14 00:48:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:48:59 ########### ########## Tcl recorder starts at 06/01/14 00:48:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:48:59 ########### ########## Tcl recorder starts at 06/01/14 00:54:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:54:24 ########### ########## Tcl recorder starts at 06/01/14 00:54:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:54:24 ########### ########## Tcl recorder starts at 06/01/14 00:59:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:59:20 ########### ########## Tcl recorder starts at 06/01/14 00:59:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 00:59:20 ########### ########## Tcl recorder starts at 06/01/14 01:02:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 01:02:48 ########### ########## Tcl recorder starts at 06/01/14 01:02:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 01:02:48 ########### ########## Tcl recorder starts at 06/01/14 01:03:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 01:03:17 ########### ########## Tcl recorder starts at 06/01/14 01:03:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/01/14 01:03:18 ########### ########## Tcl recorder starts at 06/07/14 21:37:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:37:39 ########### ########## Tcl recorder starts at 06/07/14 21:37:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:37:39 ########### ########## Tcl recorder starts at 06/07/14 21:44:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:44:21 ########### ########## Tcl recorder starts at 06/07/14 21:44:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:44:21 ########### ########## Tcl recorder starts at 06/07/14 21:45:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:45:19 ########### ########## Tcl recorder starts at 06/07/14 21:45:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:45:19 ########### ########## Tcl recorder starts at 06/07/14 21:47:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:47:19 ########### ########## Tcl recorder starts at 06/07/14 21:47:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:47:19 ########### ########## Tcl recorder starts at 06/07/14 21:49:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:49:30 ########### ########## Tcl recorder starts at 06/07/14 21:49:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 21:49:31 ########### ########## Tcl recorder starts at 06/07/14 22:01:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:01:17 ########### ########## Tcl recorder starts at 06/07/14 22:01:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:01:17 ########### ########## Tcl recorder starts at 06/07/14 22:02:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:02:45 ########### ########## Tcl recorder starts at 06/07/14 22:02:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:02:45 ########### ########## Tcl recorder starts at 06/07/14 22:21:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:21:50 ########### ########## Tcl recorder starts at 06/07/14 22:21:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:21:50 ########### ########## Tcl recorder starts at 06/07/14 22:23:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:23:38 ########### ########## Tcl recorder starts at 06/07/14 22:23:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:23:38 ########### ########## Tcl recorder starts at 06/07/14 22:25:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:25:58 ########### ########## Tcl recorder starts at 06/07/14 22:25:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:25:58 ########### ########## Tcl recorder starts at 06/07/14 22:30:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:30:53 ########### ########## Tcl recorder starts at 06/07/14 22:30:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:30:53 ########### ########## Tcl recorder starts at 06/07/14 22:33:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:33:35 ########### ########## Tcl recorder starts at 06/07/14 22:33:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:33:35 ########### ########## Tcl recorder starts at 06/07/14 22:36:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:36:29 ########### ########## Tcl recorder starts at 06/07/14 22:36:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:36:29 ########### ########## Tcl recorder starts at 06/07/14 22:49:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:49:11 ########### ########## Tcl recorder starts at 06/07/14 22:49:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:49:12 ########### ########## Tcl recorder starts at 06/07/14 22:55:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:55:44 ########### ########## Tcl recorder starts at 06/07/14 22:55:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:55:44 ########### ########## Tcl recorder starts at 06/07/14 22:57:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:57:25 ########### ########## Tcl recorder starts at 06/07/14 22:57:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:57:25 ########### ########## Tcl recorder starts at 06/07/14 22:58:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:58:56 ########### ########## Tcl recorder starts at 06/07/14 22:58:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 22:58:56 ########### ########## Tcl recorder starts at 06/07/14 23:01:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 23:01:19 ########### ########## Tcl recorder starts at 06/07/14 23:01:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 23:01:19 ########### ########## Tcl recorder starts at 06/07/14 23:03:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 23:03:13 ########### ########## Tcl recorder starts at 06/07/14 23:03:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/07/14 23:03:13 ########### ########## Tcl recorder starts at 06/08/14 11:19:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:19:18 ########### ########## Tcl recorder starts at 06/08/14 11:19:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:19:18 ########### ########## Tcl recorder starts at 06/08/14 11:19:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:19:42 ########### ########## Tcl recorder starts at 06/08/14 11:19:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:19:42 ########### ########## Tcl recorder starts at 06/08/14 11:22:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:22:24 ########### ########## Tcl recorder starts at 06/08/14 11:22:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:22:24 ########### ########## Tcl recorder starts at 06/08/14 11:22:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:22:43 ########### ########## Tcl recorder starts at 06/08/14 11:22:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:22:43 ########### ########## Tcl recorder starts at 06/08/14 11:23:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:23:09 ########### ########## Tcl recorder starts at 06/08/14 11:23:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:23:09 ########### ########## Tcl recorder starts at 06/08/14 11:24:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:24:15 ########### ########## Tcl recorder starts at 06/08/14 11:24:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:24:15 ########### ########## Tcl recorder starts at 06/08/14 11:25:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:25:46 ########### ########## Tcl recorder starts at 06/08/14 11:25:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:25:47 ########### ########## Tcl recorder starts at 06/08/14 11:26:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:26:27 ########### ########## Tcl recorder starts at 06/08/14 11:26:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:26:27 ########### ########## Tcl recorder starts at 06/08/14 11:27:03 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:27:03 ########### ########## Tcl recorder starts at 06/08/14 11:27:29 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:27:29 ########### ########## Tcl recorder starts at 06/08/14 11:27:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:27:33 ########### ########## Tcl recorder starts at 06/08/14 11:27:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:27:56 ########### ########## Tcl recorder starts at 06/08/14 11:28:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:28:01 ########### ########## Tcl recorder starts at 06/08/14 11:28:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:28:44 ########### ########## Tcl recorder starts at 06/08/14 11:29:26 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:29:26 ########### ########## Tcl recorder starts at 06/08/14 11:29:43 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:29:43 ########### ########## Tcl recorder starts at 06/08/14 11:29:54 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:29:54 ########### ########## Tcl recorder starts at 06/08/14 11:36:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:36:01 ########### ########## Tcl recorder starts at 06/08/14 11:36:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:36:01 ########### ########## Tcl recorder starts at 06/08/14 11:38:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:38:27 ########### ########## Tcl recorder starts at 06/08/14 11:38:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:38:28 ########### ########## Tcl recorder starts at 06/08/14 11:45:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:45:11 ########### ########## Tcl recorder starts at 06/08/14 11:45:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:45:11 ########### ########## Tcl recorder starts at 06/08/14 11:59:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:59:15 ########### ########## Tcl recorder starts at 06/08/14 11:59:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 11:59:15 ########### ########## Tcl recorder starts at 06/08/14 12:00:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:00:59 ########### ########## Tcl recorder starts at 06/08/14 12:00:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:00:59 ########### ########## Tcl recorder starts at 06/08/14 12:04:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:04:26 ########### ########## Tcl recorder starts at 06/08/14 12:04:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:04:26 ########### ########## Tcl recorder starts at 06/08/14 12:08:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:08:07 ########### ########## Tcl recorder starts at 06/08/14 12:08:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:08:07 ########### ########## Tcl recorder starts at 06/08/14 12:14:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:14:03 ########### ########## Tcl recorder starts at 06/08/14 12:14:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:14:03 ########### ########## Tcl recorder starts at 06/08/14 12:16:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:16:27 ########### ########## Tcl recorder starts at 06/08/14 12:16:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:16:27 ########### ########## Tcl recorder starts at 06/08/14 12:18:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:18:10 ########### ########## Tcl recorder starts at 06/08/14 12:18:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:18:10 ########### ########## Tcl recorder starts at 06/08/14 12:21:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:21:12 ########### ########## Tcl recorder starts at 06/08/14 12:21:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:21:12 ########### ########## Tcl recorder starts at 06/08/14 12:23:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:23:42 ########### ########## Tcl recorder starts at 06/08/14 12:23:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:23:42 ########### ########## Tcl recorder starts at 06/08/14 12:24:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:24:28 ########### ########## Tcl recorder starts at 06/08/14 12:24:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:24:28 ########### ########## Tcl recorder starts at 06/08/14 12:26:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:26:18 ########### ########## Tcl recorder starts at 06/08/14 12:26:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:26:18 ########### ########## Tcl recorder starts at 06/08/14 12:27:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:27:24 ########### ########## Tcl recorder starts at 06/08/14 12:27:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:27:25 ########### ########## Tcl recorder starts at 06/08/14 12:28:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:28:10 ########### ########## Tcl recorder starts at 06/08/14 12:28:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:28:10 ########### ########## Tcl recorder starts at 06/08/14 12:29:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:29:59 ########### ########## Tcl recorder starts at 06/08/14 12:29:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:29:59 ########### ########## Tcl recorder starts at 06/08/14 12:31:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:31:14 ########### ########## Tcl recorder starts at 06/08/14 12:31:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:31:15 ########### ########## Tcl recorder starts at 06/08/14 12:32:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:32:49 ########### ########## Tcl recorder starts at 06/08/14 12:32:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:32:49 ########### ########## Tcl recorder starts at 06/08/14 12:40:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:40:52 ########### ########## Tcl recorder starts at 06/08/14 12:40:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 12:40:52 ########### ########## Tcl recorder starts at 06/08/14 13:29:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:29:51 ########### ########## Tcl recorder starts at 06/08/14 13:29:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:29:51 ########### ########## Tcl recorder starts at 06/08/14 13:30:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:30:45 ########### ########## Tcl recorder starts at 06/08/14 13:30:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:30:45 ########### ########## Tcl recorder starts at 06/08/14 13:31:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:31:30 ########### ########## Tcl recorder starts at 06/08/14 13:31:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:31:30 ########### ########## Tcl recorder starts at 06/08/14 13:32:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:32:12 ########### ########## Tcl recorder starts at 06/08/14 13:32:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:32:12 ########### ########## Tcl recorder starts at 06/08/14 13:52:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:52:42 ########### ########## Tcl recorder starts at 06/08/14 13:52:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:52:43 ########### ########## Tcl recorder starts at 06/08/14 13:53:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:53:44 ########### ########## Tcl recorder starts at 06/08/14 13:53:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:53:44 ########### ########## Tcl recorder starts at 06/08/14 13:55:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:55:25 ########### ########## Tcl recorder starts at 06/08/14 13:55:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:55:25 ########### ########## Tcl recorder starts at 06/08/14 13:56:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:56:51 ########### ########## Tcl recorder starts at 06/08/14 13:56:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 13:56:52 ########### ########## Tcl recorder starts at 06/08/14 14:00:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 14:00:00 ########### ########## Tcl recorder starts at 06/08/14 14:00:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/08/14 14:00:00 ########### ########## Tcl recorder starts at 06/09/14 09:31:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:31:28 ########### ########## Tcl recorder starts at 06/09/14 09:31:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:31:29 ########### ########## Tcl recorder starts at 06/09/14 09:36:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:36:18 ########### ########## Tcl recorder starts at 06/09/14 09:36:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:36:18 ########### ########## Tcl recorder starts at 06/09/14 09:42:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:42:04 ########### ########## Tcl recorder starts at 06/09/14 09:42:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:42:05 ########### ########## Tcl recorder starts at 06/09/14 09:43:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:43:28 ########### ########## Tcl recorder starts at 06/09/14 09:43:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:43:28 ########### ########## Tcl recorder starts at 06/09/14 09:45:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:45:27 ########### ########## Tcl recorder starts at 06/09/14 09:45:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:45:27 ########### ########## Tcl recorder starts at 06/09/14 09:46:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:46:36 ########### ########## Tcl recorder starts at 06/09/14 09:46:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:46:36 ########### ########## Tcl recorder starts at 06/09/14 09:47:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:47:27 ########### ########## Tcl recorder starts at 06/09/14 09:47:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:47:27 ########### ########## Tcl recorder starts at 06/09/14 09:48:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:48:08 ########### ########## Tcl recorder starts at 06/09/14 09:48:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:48:09 ########### ########## Tcl recorder starts at 06/09/14 09:49:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:49:27 ########### ########## Tcl recorder starts at 06/09/14 09:49:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:49:27 ########### ########## Tcl recorder starts at 06/09/14 09:50:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:50:47 ########### ########## Tcl recorder starts at 06/09/14 09:50:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:50:47 ########### ########## Tcl recorder starts at 06/09/14 09:53:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:53:49 ########### ########## Tcl recorder starts at 06/09/14 09:53:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 09:53:49 ########### ########## Tcl recorder starts at 06/09/14 10:07:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:07:58 ########### ########## Tcl recorder starts at 06/09/14 10:07:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:07:58 ########### ########## Tcl recorder starts at 06/09/14 10:09:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:09:00 ########### ########## Tcl recorder starts at 06/09/14 10:09:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:09:00 ########### ########## Tcl recorder starts at 06/09/14 10:09:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:09:31 ########### ########## Tcl recorder starts at 06/09/14 10:09:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:09:31 ########### ########## Tcl recorder starts at 06/09/14 10:10:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:10:32 ########### ########## Tcl recorder starts at 06/09/14 10:10:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:10:32 ########### ########## Tcl recorder starts at 06/09/14 10:14:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:14:15 ########### ########## Tcl recorder starts at 06/09/14 10:14:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:14:16 ########### ########## Tcl recorder starts at 06/09/14 10:16:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:16:07 ########### ########## Tcl recorder starts at 06/09/14 10:16:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:16:07 ########### ########## Tcl recorder starts at 06/09/14 10:17:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:17:15 ########### ########## Tcl recorder starts at 06/09/14 10:17:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:17:15 ########### ########## Tcl recorder starts at 06/09/14 10:18:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:18:14 ########### ########## Tcl recorder starts at 06/09/14 10:18:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:18:14 ########### ########## Tcl recorder starts at 06/09/14 10:18:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:18:56 ########### ########## Tcl recorder starts at 06/09/14 10:18:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:18:57 ########### ########## Tcl recorder starts at 06/09/14 10:19:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:19:54 ########### ########## Tcl recorder starts at 06/09/14 10:19:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:19:54 ########### ########## Tcl recorder starts at 06/09/14 10:21:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:21:31 ########### ########## Tcl recorder starts at 06/09/14 10:21:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:21:31 ########### ########## Tcl recorder starts at 06/09/14 10:23:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:23:06 ########### ########## Tcl recorder starts at 06/09/14 10:23:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:23:06 ########### ########## Tcl recorder starts at 06/09/14 10:27:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:27:17 ########### ########## Tcl recorder starts at 06/09/14 10:27:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:27:18 ########### ########## Tcl recorder starts at 06/09/14 10:57:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:57:04 ########### ########## Tcl recorder starts at 06/09/14 10:57:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:57:04 ########### ########## Tcl recorder starts at 06/09/14 10:58:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:58:18 ########### ########## Tcl recorder starts at 06/09/14 10:58:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 10:58:18 ########### ########## Tcl recorder starts at 06/09/14 11:00:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:00:38 ########### ########## Tcl recorder starts at 06/09/14 11:00:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:00:39 ########### ########## Tcl recorder starts at 06/09/14 11:01:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:01:19 ########### ########## Tcl recorder starts at 06/09/14 11:01:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:01:19 ########### ########## Tcl recorder starts at 06/09/14 11:04:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:04:10 ########### ########## Tcl recorder starts at 06/09/14 11:04:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:04:10 ########### ########## Tcl recorder starts at 06/09/14 11:06:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:06:40 ########### ########## Tcl recorder starts at 06/09/14 11:06:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:06:40 ########### ########## Tcl recorder starts at 06/09/14 11:08:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:08:15 ########### ########## Tcl recorder starts at 06/09/14 11:08:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:08:15 ########### ########## Tcl recorder starts at 06/09/14 11:09:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:09:26 ########### ########## Tcl recorder starts at 06/09/14 11:09:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:09:26 ########### ########## Tcl recorder starts at 06/09/14 11:10:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:10:58 ########### ########## Tcl recorder starts at 06/09/14 11:10:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:10:59 ########### ########## Tcl recorder starts at 06/09/14 11:12:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:12:16 ########### ########## Tcl recorder starts at 06/09/14 11:12:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:12:16 ########### ########## Tcl recorder starts at 06/09/14 11:13:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:13:17 ########### ########## Tcl recorder starts at 06/09/14 11:13:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:13:17 ########### ########## Tcl recorder starts at 06/09/14 11:15:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:15:03 ########### ########## Tcl recorder starts at 06/09/14 11:15:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:15:04 ########### ########## Tcl recorder starts at 06/09/14 11:16:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:16:04 ########### ########## Tcl recorder starts at 06/09/14 11:16:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:16:05 ########### ########## Tcl recorder starts at 06/09/14 11:18:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:18:39 ########### ########## Tcl recorder starts at 06/09/14 11:18:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 11:18:39 ########### ########## Tcl recorder starts at 06/09/14 17:26:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:26:02 ########### ########## Tcl recorder starts at 06/09/14 17:26:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:26:02 ########### ########## Tcl recorder starts at 06/09/14 17:29:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:29:03 ########### ########## Tcl recorder starts at 06/09/14 17:29:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:29:03 ########### ########## Tcl recorder starts at 06/09/14 17:30:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:30:52 ########### ########## Tcl recorder starts at 06/09/14 17:30:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:30:53 ########### ########## Tcl recorder starts at 06/09/14 17:33:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:33:03 ########### ########## Tcl recorder starts at 06/09/14 17:33:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:33:04 ########### ########## Tcl recorder starts at 06/09/14 17:34:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:34:06 ########### ########## Tcl recorder starts at 06/09/14 17:34:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 17:34:07 ########### ########## Tcl recorder starts at 06/09/14 18:37:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 18:37:46 ########### ########## Tcl recorder starts at 06/09/14 18:37:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 18:37:46 ########### ########## Tcl recorder starts at 06/09/14 18:49:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 2to3divider.vhd -o 2to3divider.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 18:49:19 ########### ########## Tcl recorder starts at 06/09/14 19:53:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 2to3divider.vhd -o 2to3divider.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 19:53:42 ########### ########## Tcl recorder starts at 06/09/14 19:53:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 2to3divider.vhd 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 19:53:42 ########### ########## Tcl recorder starts at 06/09/14 20:00:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:00:36 ########### ########## Tcl recorder starts at 06/09/14 20:00:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 2to3divider.vhd 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:00:36 ########### ########## Tcl recorder starts at 06/09/14 20:05:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 2to3divider.vhd -o 2to3divider.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:05:35 ########### ########## Tcl recorder starts at 06/09/14 20:05:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:05:40 ########### ########## Tcl recorder starts at 06/09/14 20:06:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:06:41 ########### ########## Tcl recorder starts at 06/09/14 20:06:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:06:41 ########### ########## Tcl recorder starts at 06/09/14 20:07:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:07:07 ########### ########## Tcl recorder starts at 06/09/14 20:07:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:07:08 ########### ########## Tcl recorder starts at 06/09/14 20:09:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:09:59 ########### ########## Tcl recorder starts at 06/09/14 20:09:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:09:59 ########### ########## Tcl recorder starts at 06/09/14 20:18:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:18:14 ########### ########## Tcl recorder starts at 06/09/14 20:18:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:18:15 ########### ########## Tcl recorder starts at 06/09/14 20:20:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:20:23 ########### ########## Tcl recorder starts at 06/09/14 20:20:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/09/14 20:20:24 ########### ########## Tcl recorder starts at 06/15/14 12:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 12:13:59 ########### ########## Tcl recorder starts at 06/15/14 12:13:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 12:13:59 ########### ########## Tcl recorder starts at 06/15/14 12:25:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 12:25:19 ########### ########## Tcl recorder starts at 06/15/14 12:25:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 12:25:20 ########### ########## Tcl recorder starts at 06/15/14 13:00:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 13:00:08 ########### ########## Tcl recorder starts at 06/15/14 13:00:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 13:00:08 ########### ########## Tcl recorder starts at 06/15/14 13:01:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 13:01:35 ########### ########## Tcl recorder starts at 06/15/14 13:01:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 13:01:35 ########### ########## Tcl recorder starts at 06/15/14 15:15:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:15:03 ########### ########## Tcl recorder starts at 06/15/14 15:15:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:15:03 ########### ########## Tcl recorder starts at 06/15/14 15:16:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:16:40 ########### ########## Tcl recorder starts at 06/15/14 15:16:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:16:40 ########### ########## Tcl recorder starts at 06/15/14 15:18:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:18:06 ########### ########## Tcl recorder starts at 06/15/14 15:18:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:18:06 ########### ########## Tcl recorder starts at 06/15/14 15:29:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:29:33 ########### ########## Tcl recorder starts at 06/15/14 15:29:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:29:33 ########### ########## Tcl recorder starts at 06/15/14 15:38:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:38:44 ########### ########## Tcl recorder starts at 06/15/14 15:38:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:38:44 ########### ########## Tcl recorder starts at 06/15/14 15:39:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:39:43 ########### ########## Tcl recorder starts at 06/15/14 15:39:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 15:39:44 ########### ########## Tcl recorder starts at 06/15/14 16:02:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:02:31 ########### ########## Tcl recorder starts at 06/15/14 16:02:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:02:31 ########### ########## Tcl recorder starts at 06/15/14 16:04:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:04:13 ########### ########## Tcl recorder starts at 06/15/14 16:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:04:13 ########### ########## Tcl recorder starts at 06/15/14 16:06:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:06:19 ########### ########## Tcl recorder starts at 06/15/14 16:06:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:06:19 ########### ########## Tcl recorder starts at 06/15/14 16:07:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:07:16 ########### ########## Tcl recorder starts at 06/15/14 16:07:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:07:16 ########### ########## Tcl recorder starts at 06/15/14 16:12:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:12:54 ########### ########## Tcl recorder starts at 06/15/14 16:12:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:12:54 ########### ########## Tcl recorder starts at 06/15/14 16:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:13:59 ########### ########## Tcl recorder starts at 06/15/14 16:13:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:13:59 ########### ########## Tcl recorder starts at 06/15/14 16:20:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:20:51 ########### ########## Tcl recorder starts at 06/15/14 16:20:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:20:51 ########### ########## Tcl recorder starts at 06/15/14 16:21:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:21:16 ########### ########## Tcl recorder starts at 06/15/14 16:21:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:21:16 ########### ########## Tcl recorder starts at 06/15/14 16:24:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:24:17 ########### ########## Tcl recorder starts at 06/15/14 16:24:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:24:17 ########### ########## Tcl recorder starts at 06/15/14 16:30:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:30:22 ########### ########## Tcl recorder starts at 06/15/14 16:30:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:30:22 ########### ########## Tcl recorder starts at 06/15/14 16:32:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:32:51 ########### ########## Tcl recorder starts at 06/15/14 16:32:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:32:51 ########### ########## Tcl recorder starts at 06/15/14 16:34:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:34:34 ########### ########## Tcl recorder starts at 06/15/14 16:34:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:34:34 ########### ########## Tcl recorder starts at 06/15/14 16:36:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:36:36 ########### ########## Tcl recorder starts at 06/15/14 16:36:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/15/14 16:36:37 ########### ########## Tcl recorder starts at 06/21/14 17:59:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/21/14 17:59:59 ########### ########## Tcl recorder starts at 06/21/14 17:59:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/21/14 17:59:59 ########### ########## Tcl recorder starts at 06/22/14 21:05:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:05:49 ########### ########## Tcl recorder starts at 06/22/14 21:05:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:05:49 ########### ########## Tcl recorder starts at 06/22/14 21:08:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:08:26 ########### ########## Tcl recorder starts at 06/22/14 21:08:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:08:26 ########### ########## Tcl recorder starts at 06/22/14 21:16:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:16:18 ########### ########## Tcl recorder starts at 06/22/14 21:16:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:16:18 ########### ########## Tcl recorder starts at 06/22/14 21:24:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:24:13 ########### ########## Tcl recorder starts at 06/22/14 21:24:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/22/14 21:24:14 ########### ########## Tcl recorder starts at 06/23/14 20:51:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:51:09 ########### ########## Tcl recorder starts at 06/23/14 20:51:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:51:09 ########### ########## Tcl recorder starts at 06/23/14 20:53:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:53:23 ########### ########## Tcl recorder starts at 06/23/14 20:53:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:53:23 ########### ########## Tcl recorder starts at 06/23/14 20:55:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:55:28 ########### ########## Tcl recorder starts at 06/23/14 20:55:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 20:55:29 ########### ########## Tcl recorder starts at 06/23/14 21:03:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 21:03:36 ########### ########## Tcl recorder starts at 06/23/14 21:03:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/23/14 21:03:36 ########### ########## Tcl recorder starts at 06/24/14 21:53:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 21:53:45 ########### ########## Tcl recorder starts at 06/24/14 21:53:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 21:53:46 ########### ########## Tcl recorder starts at 06/24/14 21:54:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 21:54:52 ########### ########## Tcl recorder starts at 06/24/14 21:54:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 21:54:52 ########### ########## Tcl recorder starts at 06/24/14 22:07:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:07:20 ########### ########## Tcl recorder starts at 06/24/14 22:07:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:07:20 ########### ########## Tcl recorder starts at 06/24/14 22:08:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:08:49 ########### ########## Tcl recorder starts at 06/24/14 22:08:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:08:49 ########### ########## Tcl recorder starts at 06/24/14 22:09:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:09:50 ########### ########## Tcl recorder starts at 06/24/14 22:09:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:09:50 ########### ########## Tcl recorder starts at 06/24/14 22:11:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:11:55 ########### ########## Tcl recorder starts at 06/24/14 22:11:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:11:56 ########### ########## Tcl recorder starts at 06/24/14 22:14:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:14:05 ########### ########## Tcl recorder starts at 06/24/14 22:14:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/24/14 22:14:05 ########### ########## Tcl recorder starts at 06/25/14 20:27:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:27:33 ########### ########## Tcl recorder starts at 06/25/14 20:27:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:27:33 ########### ########## Tcl recorder starts at 06/25/14 20:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:30:18 ########### ########## Tcl recorder starts at 06/25/14 20:30:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:30:18 ########### ########## Tcl recorder starts at 06/25/14 20:30:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:30:56 ########### ########## Tcl recorder starts at 06/25/14 20:36:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:36:13 ########### ########## Tcl recorder starts at 06/25/14 20:36:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:36:13 ########### ########## Tcl recorder starts at 06/25/14 20:48:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:48:06 ########### ########## Tcl recorder starts at 06/25/14 20:48:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 20:48:06 ########### ########## Tcl recorder starts at 06/25/14 23:30:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 23:30:28 ########### ########## Tcl recorder starts at 06/25/14 23:30:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 23:30:28 ########### ########## Tcl recorder starts at 06/25/14 23:32:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 23:32:17 ########### ########## Tcl recorder starts at 06/25/14 23:32:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/25/14 23:32:17 ########### ########## Tcl recorder starts at 06/26/14 10:35:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:35:48 ########### ########## Tcl recorder starts at 06/26/14 10:35:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:35:48 ########### ########## Tcl recorder starts at 06/26/14 10:36:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:36:57 ########### ########## Tcl recorder starts at 06/26/14 10:36:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:36:58 ########### ########## Tcl recorder starts at 06/26/14 10:39:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:39:21 ########### ########## Tcl recorder starts at 06/26/14 10:39:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:39:21 ########### ########## Tcl recorder starts at 06/26/14 10:41:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:41:17 ########### ########## Tcl recorder starts at 06/26/14 10:41:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 10:41:17 ########### ########## Tcl recorder starts at 06/26/14 12:16:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:16:47 ########### ########## Tcl recorder starts at 06/26/14 12:16:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:16:47 ########### ########## Tcl recorder starts at 06/26/14 12:19:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:19:57 ########### ########## Tcl recorder starts at 06/26/14 12:19:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:19:58 ########### ########## Tcl recorder starts at 06/26/14 12:23:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:23:21 ########### ########## Tcl recorder starts at 06/26/14 12:23:21 ########## # Commands to make the Process: # ISC-1532 File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:23:21 ########### ########## Tcl recorder starts at 06/26/14 12:33:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:33:14 ########### ########## Tcl recorder starts at 06/26/14 12:33:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:33:14 ########### ########## Tcl recorder starts at 06/26/14 12:37:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:37:08 ########### ########## Tcl recorder starts at 06/26/14 12:37:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:37:08 ########### ########## Tcl recorder starts at 06/26/14 12:39:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:39:32 ########### ########## Tcl recorder starts at 06/26/14 12:39:32 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:39:32 ########### ########## Tcl recorder starts at 06/26/14 12:45:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:45:53 ########### ########## Tcl recorder starts at 06/26/14 12:45:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:45:53 ########### ########## Tcl recorder starts at 06/26/14 12:56:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:56:05 ########### ########## Tcl recorder starts at 06/26/14 12:56:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 12:56:05 ########### ########## Tcl recorder starts at 06/26/14 13:00:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:00:56 ########### ########## Tcl recorder starts at 06/26/14 13:00:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:00:56 ########### ########## Tcl recorder starts at 06/26/14 13:02:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:02:36 ########### ########## Tcl recorder starts at 06/26/14 13:02:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:02:36 ########### ########## Tcl recorder starts at 06/26/14 13:04:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:04:51 ########### ########## Tcl recorder starts at 06/26/14 13:04:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/26/14 13:04:51 ########### ########## Tcl recorder starts at 06/27/14 12:23:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:23:50 ########### ########## Tcl recorder starts at 06/27/14 12:23:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:23:50 ########### ########## Tcl recorder starts at 06/27/14 12:27:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:27:45 ########### ########## Tcl recorder starts at 06/27/14 12:27:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:27:45 ########### ########## Tcl recorder starts at 06/27/14 12:28:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:28:40 ########### ########## Tcl recorder starts at 06/27/14 12:28:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:28:40 ########### ########## Tcl recorder starts at 06/27/14 12:29:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:29:16 ########### ########## Tcl recorder starts at 06/27/14 12:29:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:29:16 ########### ########## Tcl recorder starts at 06/27/14 12:29:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:29:41 ########### ########## Tcl recorder starts at 06/27/14 12:29:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:29:41 ########### ########## Tcl recorder starts at 06/27/14 12:46:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:46:19 ########### ########## Tcl recorder starts at 06/27/14 12:46:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:46:19 ########### ########## Tcl recorder starts at 06/27/14 12:49:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:49:52 ########### ########## Tcl recorder starts at 06/27/14 12:49:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:49:53 ########### ########## Tcl recorder starts at 06/27/14 12:51:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:51:28 ########### ########## Tcl recorder starts at 06/27/14 12:51:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:51:28 ########### ########## Tcl recorder starts at 06/27/14 12:53:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:53:08 ########### ########## Tcl recorder starts at 06/27/14 12:53:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:53:08 ########### ########## Tcl recorder starts at 06/27/14 12:54:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:54:39 ########### ########## Tcl recorder starts at 06/27/14 12:54:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:54:40 ########### ########## Tcl recorder starts at 06/27/14 12:58:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:58:41 ########### ########## Tcl recorder starts at 06/27/14 12:58:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 12:58:41 ########### ########## Tcl recorder starts at 06/27/14 13:01:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 13:01:22 ########### ########## Tcl recorder starts at 06/27/14 13:01:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 13:01:22 ########### ########## Tcl recorder starts at 06/27/14 13:03:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 13:03:35 ########### ########## Tcl recorder starts at 06/27/14 13:03:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/27/14 13:03:35 ########### ########## Tcl recorder starts at 06/30/14 19:52:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:52:34 ########### ########## Tcl recorder starts at 06/30/14 19:52:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:52:34 ########### ########## Tcl recorder starts at 06/30/14 19:53:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:53:54 ########### ########## Tcl recorder starts at 06/30/14 19:53:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:53:55 ########### ########## Tcl recorder starts at 06/30/14 19:55:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:55:51 ########### ########## Tcl recorder starts at 06/30/14 19:55:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:55:51 ########### ########## Tcl recorder starts at 06/30/14 19:57:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:57:18 ########### ########## Tcl recorder starts at 06/30/14 19:57:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:57:18 ########### ########## Tcl recorder starts at 06/30/14 19:58:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:58:57 ########### ########## Tcl recorder starts at 06/30/14 19:58:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 06/30/14 19:58:57 ########### ########## Tcl recorder starts at 07/01/14 20:23:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:23:54 ########### ########## Tcl recorder starts at 07/01/14 20:23:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:23:55 ########### ########## Tcl recorder starts at 07/01/14 20:25:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:25:00 ########### ########## Tcl recorder starts at 07/01/14 20:25:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:25:00 ########### ########## Tcl recorder starts at 07/01/14 20:32:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:32:13 ########### ########## Tcl recorder starts at 07/01/14 20:32:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:32:13 ########### ########## Tcl recorder starts at 07/01/14 20:34:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:34:12 ########### ########## Tcl recorder starts at 07/01/14 20:34:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:34:12 ########### ########## Tcl recorder starts at 07/01/14 20:36:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:36:27 ########### ########## Tcl recorder starts at 07/01/14 20:36:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:36:27 ########### ########## Tcl recorder starts at 07/01/14 20:37:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:37:44 ########### ########## Tcl recorder starts at 07/01/14 20:37:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:37:44 ########### ########## Tcl recorder starts at 07/01/14 20:41:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:41:04 ########### ########## Tcl recorder starts at 07/01/14 20:41:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:41:04 ########### ########## Tcl recorder starts at 07/01/14 20:42:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:42:04 ########### ########## Tcl recorder starts at 07/01/14 20:42:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:42:04 ########### ########## Tcl recorder starts at 07/01/14 20:43:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:43:25 ########### ########## Tcl recorder starts at 07/01/14 20:43:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:43:25 ########### ########## Tcl recorder starts at 07/01/14 20:45:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:45:22 ########### ########## Tcl recorder starts at 07/01/14 20:45:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:45:22 ########### ########## Tcl recorder starts at 07/01/14 20:46:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:46:26 ########### ########## Tcl recorder starts at 07/01/14 20:46:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:46:26 ########### ########## Tcl recorder starts at 07/01/14 20:47:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:47:49 ########### ########## Tcl recorder starts at 07/01/14 20:47:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:47:50 ########### ########## Tcl recorder starts at 07/01/14 20:49:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:49:14 ########### ########## Tcl recorder starts at 07/01/14 20:49:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:49:15 ########### ########## Tcl recorder starts at 07/01/14 20:51:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:51:10 ########### ########## Tcl recorder starts at 07/01/14 20:51:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:51:10 ########### ########## Tcl recorder starts at 07/01/14 20:53:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:53:35 ########### ########## Tcl recorder starts at 07/01/14 20:53:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:53:35 ########### ########## Tcl recorder starts at 07/01/14 20:55:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:55:26 ########### ########## Tcl recorder starts at 07/01/14 20:55:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:55:26 ########### ########## Tcl recorder starts at 07/01/14 20:56:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:56:42 ########### ########## Tcl recorder starts at 07/01/14 20:56:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:56:42 ########### ########## Tcl recorder starts at 07/01/14 20:57:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:57:34 ########### ########## Tcl recorder starts at 07/01/14 20:57:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 20:57:35 ########### ########## Tcl recorder starts at 07/01/14 21:03:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:03:00 ########### ########## Tcl recorder starts at 07/01/14 21:03:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:03:00 ########### ########## Tcl recorder starts at 07/01/14 21:07:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:07:47 ########### ########## Tcl recorder starts at 07/01/14 21:07:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:07:47 ########### ########## Tcl recorder starts at 07/01/14 21:09:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:09:02 ########### ########## Tcl recorder starts at 07/01/14 21:09:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:09:02 ########### ########## Tcl recorder starts at 07/01/14 21:10:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:10:07 ########### ########## Tcl recorder starts at 07/01/14 21:10:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:10:07 ########### ########## Tcl recorder starts at 07/01/14 21:13:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:13:20 ########### ########## Tcl recorder starts at 07/01/14 21:13:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:13:21 ########### ########## Tcl recorder starts at 07/01/14 21:14:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:14:39 ########### ########## Tcl recorder starts at 07/01/14 21:14:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:14:39 ########### ########## Tcl recorder starts at 07/01/14 21:17:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:17:11 ########### ########## Tcl recorder starts at 07/01/14 21:17:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:17:11 ########### ########## Tcl recorder starts at 07/01/14 21:18:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:18:59 ########### ########## Tcl recorder starts at 07/01/14 21:18:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:19:00 ########### ########## Tcl recorder starts at 07/01/14 21:21:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:21:07 ########### ########## Tcl recorder starts at 07/01/14 21:21:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:21:07 ########### ########## Tcl recorder starts at 07/01/14 21:22:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:22:21 ########### ########## Tcl recorder starts at 07/01/14 21:22:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:22:22 ########### ########## Tcl recorder starts at 07/01/14 21:25:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:25:20 ########### ########## Tcl recorder starts at 07/01/14 21:25:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:25:21 ########### ########## Tcl recorder starts at 07/01/14 21:31:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:31:19 ########### ########## Tcl recorder starts at 07/01/14 21:31:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:31:20 ########### ########## Tcl recorder starts at 07/01/14 21:32:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:32:15 ########### ########## Tcl recorder starts at 07/01/14 21:32:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:32:15 ########### ########## Tcl recorder starts at 07/01/14 21:33:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:33:23 ########### ########## Tcl recorder starts at 07/01/14 21:33:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:33:24 ########### ########## Tcl recorder starts at 07/01/14 21:36:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:36:07 ########### ########## Tcl recorder starts at 07/01/14 21:36:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:36:07 ########### ########## Tcl recorder starts at 07/01/14 21:37:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:37:19 ########### ########## Tcl recorder starts at 07/01/14 21:37:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/01/14 21:37:20 ########### ########## Tcl recorder starts at 07/02/14 10:16:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:14 ########### ########## Tcl recorder starts at 07/02/14 10:16:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:15 ########### ########## Tcl recorder starts at 07/02/14 10:16:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:23 ########### ########## Tcl recorder starts at 07/02/14 10:16:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:23 ########### ########## Tcl recorder starts at 07/02/14 10:16:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:42 ########### ########## Tcl recorder starts at 07/02/14 10:16:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:16:42 ########### ########## Tcl recorder starts at 07/02/14 10:21:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:21:37 ########### ########## Tcl recorder starts at 07/02/14 10:21:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:21:37 ########### ########## Tcl recorder starts at 07/02/14 10:24:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:24:14 ########### ########## Tcl recorder starts at 07/02/14 10:24:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:24:14 ########### ########## Tcl recorder starts at 07/02/14 10:25:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:25:49 ########### ########## Tcl recorder starts at 07/02/14 10:25:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/02/14 10:25:49 ########### ########## Tcl recorder starts at 07/03/14 11:41:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:41:46 ########### ########## Tcl recorder starts at 07/03/14 11:41:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:41:46 ########### ########## Tcl recorder starts at 07/03/14 11:48:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:48:35 ########### ########## Tcl recorder starts at 07/03/14 11:48:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:48:35 ########### ########## Tcl recorder starts at 07/03/14 11:50:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:50:47 ########### ########## Tcl recorder starts at 07/03/14 11:50:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:50:47 ########### ########## Tcl recorder starts at 07/03/14 11:52:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:52:30 ########### ########## Tcl recorder starts at 07/03/14 11:52:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:52:30 ########### ########## Tcl recorder starts at 07/03/14 11:54:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:54:09 ########### ########## Tcl recorder starts at 07/03/14 11:54:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:54:09 ########### ########## Tcl recorder starts at 07/03/14 11:55:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:55:25 ########### ########## Tcl recorder starts at 07/03/14 11:55:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 11:55:25 ########### ########## Tcl recorder starts at 07/03/14 12:05:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:05:54 ########### ########## Tcl recorder starts at 07/03/14 12:05:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:05:55 ########### ########## Tcl recorder starts at 07/03/14 12:07:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:07:53 ########### ########## Tcl recorder starts at 07/03/14 12:07:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:07:53 ########### ########## Tcl recorder starts at 07/03/14 12:09:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:09:25 ########### ########## Tcl recorder starts at 07/03/14 12:09:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:09:26 ########### ########## Tcl recorder starts at 07/03/14 12:10:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:10:42 ########### ########## Tcl recorder starts at 07/03/14 12:10:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:10:42 ########### ########## Tcl recorder starts at 07/03/14 12:48:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:48:14 ########### ########## Tcl recorder starts at 07/03/14 12:48:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:48:14 ########### ########## Tcl recorder starts at 07/03/14 12:49:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:49:50 ########### ########## Tcl recorder starts at 07/03/14 12:49:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 12:49:50 ########### ########## Tcl recorder starts at 07/03/14 19:31:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:31:05 ########### ########## Tcl recorder starts at 07/03/14 19:31:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:31:06 ########### ########## Tcl recorder starts at 07/03/14 19:39:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:39:20 ########### ########## Tcl recorder starts at 07/03/14 19:39:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:39:20 ########### ########## Tcl recorder starts at 07/03/14 19:42:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:42:56 ########### ########## Tcl recorder starts at 07/03/14 19:42:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:42:57 ########### ########## Tcl recorder starts at 07/03/14 19:48:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:48:55 ########### ########## Tcl recorder starts at 07/03/14 19:48:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 19:48:55 ########### ########## Tcl recorder starts at 07/03/14 20:04:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:04:41 ########### ########## Tcl recorder starts at 07/03/14 20:04:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:04:41 ########### ########## Tcl recorder starts at 07/03/14 20:06:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:06:43 ########### ########## Tcl recorder starts at 07/03/14 20:06:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:06:43 ########### ########## Tcl recorder starts at 07/03/14 20:08:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:08:57 ########### ########## Tcl recorder starts at 07/03/14 20:08:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:08:58 ########### ########## Tcl recorder starts at 07/03/14 20:09:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:09:15 ########### ########## Tcl recorder starts at 07/03/14 20:09:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:09:15 ########### ########## Tcl recorder starts at 07/03/14 20:13:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:13:44 ########### ########## Tcl recorder starts at 07/03/14 20:13:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/03/14 20:13:44 ########### ########## Tcl recorder starts at 07/04/14 13:46:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/04/14 13:46:46 ########### ########## Tcl recorder starts at 07/04/14 13:46:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/04/14 13:46:46 ########### ########## Tcl recorder starts at 07/04/14 13:48:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/04/14 13:48:35 ########### ########## Tcl recorder starts at 07/04/14 13:48:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/04/14 13:48:35 ########### ########## Tcl recorder starts at 07/16/14 17:22:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 17:22:05 ########### ########## Tcl recorder starts at 07/16/14 17:22:05 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 17:22:05 ########### ########## Tcl recorder starts at 07/16/14 19:32:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:32:30 ########### ########## Tcl recorder starts at 07/16/14 19:32:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:32:30 ########### ########## Tcl recorder starts at 07/16/14 19:39:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:39:14 ########### ########## Tcl recorder starts at 07/16/14 19:39:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:39:14 ########### ########## Tcl recorder starts at 07/16/14 19:40:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:40:32 ########### ########## Tcl recorder starts at 07/16/14 19:40:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:40:32 ########### ########## Tcl recorder starts at 07/16/14 19:40:50 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/16/14 19:40:50 ########### ########## Tcl recorder starts at 07/17/14 12:27:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:27:06 ########### ########## Tcl recorder starts at 07/17/14 12:27:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:27:06 ########### ########## Tcl recorder starts at 07/17/14 12:40:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:40:58 ########### ########## Tcl recorder starts at 07/17/14 12:40:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:40:59 ########### ########## Tcl recorder starts at 07/17/14 12:43:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:43:33 ########### ########## Tcl recorder starts at 07/17/14 12:43:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:43:33 ########### ########## Tcl recorder starts at 07/17/14 12:47:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:47:22 ########### ########## Tcl recorder starts at 07/17/14 12:47:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:47:23 ########### ########## Tcl recorder starts at 07/17/14 12:51:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:51:22 ########### ########## Tcl recorder starts at 07/17/14 12:51:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:51:22 ########### ########## Tcl recorder starts at 07/17/14 12:53:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:53:21 ########### ########## Tcl recorder starts at 07/17/14 12:53:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:53:21 ########### ########## Tcl recorder starts at 07/17/14 12:55:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:55:02 ########### ########## Tcl recorder starts at 07/17/14 12:55:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:55:02 ########### ########## Tcl recorder starts at 07/17/14 12:55:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:55:30 ########### ########## Tcl recorder starts at 07/17/14 12:55:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:55:30 ########### ########## Tcl recorder starts at 07/17/14 12:57:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:57:19 ########### ########## Tcl recorder starts at 07/17/14 12:57:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:57:19 ########### ########## Tcl recorder starts at 07/17/14 12:59:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:59:48 ########### ########## Tcl recorder starts at 07/17/14 12:59:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 12:59:48 ########### ########## Tcl recorder starts at 07/17/14 13:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:01:00 ########### ########## Tcl recorder starts at 07/17/14 13:01:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:01:00 ########### ########## Tcl recorder starts at 07/17/14 13:02:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:02:58 ########### ########## Tcl recorder starts at 07/17/14 13:02:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:02:59 ########### ########## Tcl recorder starts at 07/17/14 13:06:52 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:06:52 ########### ########## Tcl recorder starts at 07/17/14 13:08:00 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:08:00 ########### ########## Tcl recorder starts at 07/17/14 13:08:38 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:08:38 ########### ########## Tcl recorder starts at 07/17/14 13:08:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:08:56 ########### ########## Tcl recorder starts at 07/17/14 13:12:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:12:26 ########### ########## Tcl recorder starts at 07/17/14 13:12:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 13:12:26 ########### ########## Tcl recorder starts at 07/17/14 20:17:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:17:03 ########### ########## Tcl recorder starts at 07/17/14 20:17:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:17:03 ########### ########## Tcl recorder starts at 07/17/14 20:24:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:24:28 ########### ########## Tcl recorder starts at 07/17/14 20:24:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:24:29 ########### ########## Tcl recorder starts at 07/17/14 20:24:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:24:53 ########### ########## Tcl recorder starts at 07/17/14 20:24:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:24:53 ########### ########## Tcl recorder starts at 07/17/14 20:42:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:42:51 ########### ########## Tcl recorder starts at 07/17/14 20:42:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:42:51 ########### ########## Tcl recorder starts at 07/17/14 20:49:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:49:24 ########### ########## Tcl recorder starts at 07/17/14 20:49:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:49:24 ########### ########## Tcl recorder starts at 07/17/14 20:50:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:50:50 ########### ########## Tcl recorder starts at 07/17/14 20:50:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:50:50 ########### ########## Tcl recorder starts at 07/17/14 20:51:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:51:46 ########### ########## Tcl recorder starts at 07/17/14 20:51:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:51:46 ########### ########## Tcl recorder starts at 07/17/14 20:52:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:52:01 ########### ########## Tcl recorder starts at 07/17/14 20:52:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:52:01 ########### ########## Tcl recorder starts at 07/17/14 20:52:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:52:55 ########### ########## Tcl recorder starts at 07/17/14 20:52:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 20:52:55 ########### ########## Tcl recorder starts at 07/17/14 21:02:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:02:17 ########### ########## Tcl recorder starts at 07/17/14 21:02:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:02:17 ########### ########## Tcl recorder starts at 07/17/14 21:44:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:44:22 ########### ########## Tcl recorder starts at 07/17/14 21:44:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:44:22 ########### ########## Tcl recorder starts at 07/17/14 21:47:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:47:19 ########### ########## Tcl recorder starts at 07/17/14 21:47:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:47:19 ########### ########## Tcl recorder starts at 07/17/14 21:48:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:48:23 ########### ########## Tcl recorder starts at 07/17/14 21:48:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:48:23 ########### ########## Tcl recorder starts at 07/17/14 21:49:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:49:51 ########### ########## Tcl recorder starts at 07/17/14 21:49:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:49:52 ########### ########## Tcl recorder starts at 07/17/14 21:52:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:52:03 ########### ########## Tcl recorder starts at 07/17/14 21:52:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:52:03 ########### ########## Tcl recorder starts at 07/17/14 21:53:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:53:35 ########### ########## Tcl recorder starts at 07/17/14 21:53:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 21:53:35 ########### ########## Tcl recorder starts at 07/17/14 22:05:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 22:05:12 ########### ########## Tcl recorder starts at 07/17/14 22:05:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/17/14 22:05:12 ########### ########## Tcl recorder starts at 07/18/14 12:58:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 12:58:15 ########### ########## Tcl recorder starts at 07/18/14 12:58:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 12:58:15 ########### ########## Tcl recorder starts at 07/18/14 13:00:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:00:08 ########### ########## Tcl recorder starts at 07/18/14 13:00:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:00:08 ########### ########## Tcl recorder starts at 07/18/14 13:00:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:00:27 ########### ########## Tcl recorder starts at 07/18/14 13:00:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:00:28 ########### ########## Tcl recorder starts at 07/18/14 13:04:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:04:17 ########### ########## Tcl recorder starts at 07/18/14 13:04:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:04:17 ########### ########## Tcl recorder starts at 07/18/14 13:06:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:06:28 ########### ########## Tcl recorder starts at 07/18/14 13:06:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:06:28 ########### ########## Tcl recorder starts at 07/18/14 13:08:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:08:22 ########### ########## Tcl recorder starts at 07/18/14 13:08:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:08:22 ########### ########## Tcl recorder starts at 07/18/14 13:10:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:10:06 ########### ########## Tcl recorder starts at 07/18/14 13:10:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:10:06 ########### ########## Tcl recorder starts at 07/18/14 13:10:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:10:27 ########### ########## Tcl recorder starts at 07/18/14 13:10:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:10:27 ########### ########## Tcl recorder starts at 07/18/14 13:13:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:13:18 ########### ########## Tcl recorder starts at 07/18/14 13:13:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:13:18 ########### ########## Tcl recorder starts at 07/18/14 13:14:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:14:17 ########### ########## Tcl recorder starts at 07/18/14 13:14:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:14:17 ########### ########## Tcl recorder starts at 07/18/14 13:17:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:17:10 ########### ########## Tcl recorder starts at 07/18/14 13:17:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:17:11 ########### ########## Tcl recorder starts at 07/18/14 13:18:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:18:14 ########### ########## Tcl recorder starts at 07/18/14 13:18:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:18:14 ########### ########## Tcl recorder starts at 07/18/14 13:25:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:25:24 ########### ########## Tcl recorder starts at 07/18/14 13:25:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:25:25 ########### ########## Tcl recorder starts at 07/18/14 13:26:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:26:44 ########### ########## Tcl recorder starts at 07/18/14 13:26:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:26:44 ########### ########## Tcl recorder starts at 07/18/14 13:32:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:32:54 ########### ########## Tcl recorder starts at 07/18/14 13:32:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:32:54 ########### ########## Tcl recorder starts at 07/18/14 13:35:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:35:10 ########### ########## Tcl recorder starts at 07/18/14 13:35:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:35:10 ########### ########## Tcl recorder starts at 07/18/14 13:37:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:37:00 ########### ########## Tcl recorder starts at 07/18/14 13:37:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:37:00 ########### ########## Tcl recorder starts at 07/18/14 13:38:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:38:52 ########### ########## Tcl recorder starts at 07/18/14 13:38:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:38:53 ########### ########## Tcl recorder starts at 07/18/14 13:39:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:39:53 ########### ########## Tcl recorder starts at 07/18/14 13:39:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:39:53 ########### ########## Tcl recorder starts at 07/18/14 13:40:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:40:41 ########### ########## Tcl recorder starts at 07/18/14 13:40:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:40:41 ########### ########## Tcl recorder starts at 07/18/14 13:41:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:41:55 ########### ########## Tcl recorder starts at 07/18/14 13:41:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:41:56 ########### ########## Tcl recorder starts at 07/18/14 13:43:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:43:27 ########### ########## Tcl recorder starts at 07/18/14 13:43:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:43:27 ########### ########## Tcl recorder starts at 07/18/14 13:58:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:58:30 ########### ########## Tcl recorder starts at 07/18/14 13:58:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 13:58:31 ########### ########## Tcl recorder starts at 07/18/14 14:02:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:02:26 ########### ########## Tcl recorder starts at 07/18/14 14:02:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:02:27 ########### ########## Tcl recorder starts at 07/18/14 14:03:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:03:49 ########### ########## Tcl recorder starts at 07/18/14 14:03:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:03:49 ########### ########## Tcl recorder starts at 07/18/14 14:04:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:04:35 ########### ########## Tcl recorder starts at 07/18/14 14:04:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:04:35 ########### ########## Tcl recorder starts at 07/18/14 14:05:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:05:25 ########### ########## Tcl recorder starts at 07/18/14 14:05:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/18/14 14:05:26 ########### ########## Tcl recorder starts at 07/20/14 11:53:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:53:08 ########### ########## Tcl recorder starts at 07/20/14 11:54:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:54:40 ########### ########## Tcl recorder starts at 07/20/14 11:54:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:54:40 ########### ########## Tcl recorder starts at 07/20/14 11:56:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:56:59 ########### ########## Tcl recorder starts at 07/20/14 11:56:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:56:59 ########### ########## Tcl recorder starts at 07/20/14 11:57:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:57:56 ########### ########## Tcl recorder starts at 07/20/14 11:57:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:57:56 ########### ########## Tcl recorder starts at 07/20/14 11:58:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:58:21 ########### ########## Tcl recorder starts at 07/20/14 11:58:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/20/14 11:58:21 ########### ########## Tcl recorder starts at 08/09/14 12:37:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:37:02 ########### ########## Tcl recorder starts at 08/09/14 12:37:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:37:02 ########### ########## Tcl recorder starts at 08/09/14 12:39:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:39:11 ########### ########## Tcl recorder starts at 08/09/14 12:39:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:39:11 ########### ########## Tcl recorder starts at 08/09/14 12:40:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:40:37 ########### ########## Tcl recorder starts at 08/09/14 12:40:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:40:37 ########### ########## Tcl recorder starts at 08/09/14 12:41:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:41:56 ########### ########## Tcl recorder starts at 08/09/14 12:41:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:41:56 ########### ########## Tcl recorder starts at 08/09/14 12:42:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:42:33 ########### ########## Tcl recorder starts at 08/09/14 12:42:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:42:33 ########### ########## Tcl recorder starts at 08/09/14 12:44:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:44:29 ########### ########## Tcl recorder starts at 08/09/14 12:44:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:44:30 ########### ########## Tcl recorder starts at 08/09/14 12:44:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:44:58 ########### ########## Tcl recorder starts at 08/09/14 12:44:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:44:58 ########### ########## Tcl recorder starts at 08/09/14 12:47:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:47:50 ########### ########## Tcl recorder starts at 08/09/14 12:47:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:47:51 ########### ########## Tcl recorder starts at 08/09/14 12:48:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:48:29 ########### ########## Tcl recorder starts at 08/09/14 12:48:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:48:29 ########### ########## Tcl recorder starts at 08/09/14 12:51:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:51:16 ########### ########## Tcl recorder starts at 08/09/14 12:51:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:51:16 ########### ########## Tcl recorder starts at 08/09/14 12:53:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:53:12 ########### ########## Tcl recorder starts at 08/09/14 12:53:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:53:13 ########### ########## Tcl recorder starts at 08/09/14 12:54:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:54:56 ########### ########## Tcl recorder starts at 08/09/14 12:54:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:54:56 ########### ########## Tcl recorder starts at 08/09/14 12:57:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:57:26 ########### ########## Tcl recorder starts at 08/09/14 12:57:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:57:26 ########### ########## Tcl recorder starts at 08/09/14 12:59:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:59:22 ########### ########## Tcl recorder starts at 08/09/14 12:59:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 12:59:22 ########### ########## Tcl recorder starts at 08/09/14 13:04:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 13:04:37 ########### ########## Tcl recorder starts at 08/09/14 13:04:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 13:04:37 ########### ########## Tcl recorder starts at 08/09/14 21:44:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:44:52 ########### ########## Tcl recorder starts at 08/09/14 21:44:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:44:52 ########### ########## Tcl recorder starts at 08/09/14 21:45:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:45:21 ########### ########## Tcl recorder starts at 08/09/14 21:45:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:45:22 ########### ########## Tcl recorder starts at 08/09/14 21:47:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:47:49 ########### ########## Tcl recorder starts at 08/09/14 21:47:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:47:49 ########### ########## Tcl recorder starts at 08/09/14 21:48:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:48:09 ########### ########## Tcl recorder starts at 08/09/14 21:48:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:48:10 ########### ########## Tcl recorder starts at 08/09/14 21:48:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:48:40 ########### ########## Tcl recorder starts at 08/09/14 21:48:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:48:41 ########### ########## Tcl recorder starts at 08/09/14 21:56:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:56:22 ########### ########## Tcl recorder starts at 08/09/14 21:56:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:56:22 ########### ########## Tcl recorder starts at 08/09/14 21:57:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:57:12 ########### ########## Tcl recorder starts at 08/09/14 21:57:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:57:12 ########### ########## Tcl recorder starts at 08/09/14 21:58:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:58:16 ########### ########## Tcl recorder starts at 08/09/14 21:58:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:58:16 ########### ########## Tcl recorder starts at 08/09/14 21:58:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:58:30 ########### ########## Tcl recorder starts at 08/09/14 21:58:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 21:58:30 ########### ########## Tcl recorder starts at 08/09/14 22:00:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:00:45 ########### ########## Tcl recorder starts at 08/09/14 22:00:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:00:46 ########### ########## Tcl recorder starts at 08/09/14 22:02:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:02:39 ########### ########## Tcl recorder starts at 08/09/14 22:02:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:02:39 ########### ########## Tcl recorder starts at 08/09/14 22:06:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:06:30 ########### ########## Tcl recorder starts at 08/09/14 22:06:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:06:30 ########### ########## Tcl recorder starts at 08/09/14 22:12:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:12:22 ########### ########## Tcl recorder starts at 08/09/14 22:12:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:12:22 ########### ########## Tcl recorder starts at 08/09/14 22:13:35 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:13:35 ########### ########## Tcl recorder starts at 08/09/14 22:18:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:18:18 ########### ########## Tcl recorder starts at 08/09/14 22:18:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:18:18 ########### ########## Tcl recorder starts at 08/09/14 22:19:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:19:01 ########### ########## Tcl recorder starts at 08/09/14 22:19:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:19:01 ########### ########## Tcl recorder starts at 08/09/14 22:23:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:23:07 ########### ########## Tcl recorder starts at 08/09/14 22:23:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:23:08 ########### ########## Tcl recorder starts at 08/09/14 22:25:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:25:35 ########### ########## Tcl recorder starts at 08/09/14 22:25:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:25:35 ########### ########## Tcl recorder starts at 08/09/14 22:26:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:26:34 ########### ########## Tcl recorder starts at 08/09/14 22:26:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:26:34 ########### ########## Tcl recorder starts at 08/09/14 22:29:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:29:53 ########### ########## Tcl recorder starts at 08/09/14 22:29:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:29:54 ########### ########## Tcl recorder starts at 08/09/14 22:36:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:36:50 ########### ########## Tcl recorder starts at 08/09/14 22:36:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:36:50 ########### ########## Tcl recorder starts at 08/09/14 22:39:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:39:51 ########### ########## Tcl recorder starts at 08/09/14 22:39:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 22:39:51 ########### ########## Tcl recorder starts at 08/09/14 23:12:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:12:26 ########### ########## Tcl recorder starts at 08/09/14 23:12:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:12:27 ########### ########## Tcl recorder starts at 08/09/14 23:14:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:14:37 ########### ########## Tcl recorder starts at 08/09/14 23:14:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:14:37 ########### ########## Tcl recorder starts at 08/09/14 23:22:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:22:39 ########### ########## Tcl recorder starts at 08/09/14 23:22:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:22:39 ########### ########## Tcl recorder starts at 08/09/14 23:25:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:25:40 ########### ########## Tcl recorder starts at 08/09/14 23:25:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:25:40 ########### ########## Tcl recorder starts at 08/09/14 23:30:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:30:02 ########### ########## Tcl recorder starts at 08/09/14 23:30:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:30:03 ########### ########## Tcl recorder starts at 08/09/14 23:40:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:40:47 ########### ########## Tcl recorder starts at 08/09/14 23:40:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:40:47 ########### ########## Tcl recorder starts at 08/09/14 23:44:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:44:15 ########### ########## Tcl recorder starts at 08/09/14 23:44:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:44:15 ########### ########## Tcl recorder starts at 08/09/14 23:50:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:50:19 ########### ########## Tcl recorder starts at 08/09/14 23:50:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/09/14 23:50:19 ########### ########## Tcl recorder starts at 08/10/14 19:22:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:22:28 ########### ########## Tcl recorder starts at 08/10/14 19:22:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:22:29 ########### ########## Tcl recorder starts at 08/10/14 19:24:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:24:09 ########### ########## Tcl recorder starts at 08/10/14 19:24:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:24:09 ########### ########## Tcl recorder starts at 08/10/14 19:26:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:26:34 ########### ########## Tcl recorder starts at 08/10/14 19:26:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:26:34 ########### ########## Tcl recorder starts at 08/10/14 19:29:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:29:53 ########### ########## Tcl recorder starts at 08/10/14 19:29:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:29:53 ########### ########## Tcl recorder starts at 08/10/14 19:32:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:32:25 ########### ########## Tcl recorder starts at 08/10/14 19:32:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:32:26 ########### ########## Tcl recorder starts at 08/10/14 19:35:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:35:37 ########### ########## Tcl recorder starts at 08/10/14 19:35:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:35:37 ########### ########## Tcl recorder starts at 08/10/14 19:40:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:40:37 ########### ########## Tcl recorder starts at 08/10/14 19:40:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:40:37 ########### ########## Tcl recorder starts at 08/10/14 19:50:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:50:07 ########### ########## Tcl recorder starts at 08/10/14 19:50:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/10/14 19:50:07 ########### ########## Tcl recorder starts at 08/12/14 20:30:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:30:10 ########### ########## Tcl recorder starts at 08/12/14 20:30:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:30:11 ########### ########## Tcl recorder starts at 08/12/14 20:31:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:31:58 ########### ########## Tcl recorder starts at 08/12/14 20:31:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:31:59 ########### ########## Tcl recorder starts at 08/12/14 20:34:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:34:53 ########### ########## Tcl recorder starts at 08/12/14 20:34:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:34:53 ########### ########## Tcl recorder starts at 08/12/14 20:37:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:37:53 ########### ########## Tcl recorder starts at 08/12/14 20:37:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:37:53 ########### ########## Tcl recorder starts at 08/12/14 20:42:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:42:52 ########### ########## Tcl recorder starts at 08/12/14 20:42:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:42:52 ########### ########## Tcl recorder starts at 08/12/14 20:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:44:41 ########### ########## Tcl recorder starts at 08/12/14 20:44:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:44:42 ########### ########## Tcl recorder starts at 08/12/14 20:47:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:47:16 ########### ########## Tcl recorder starts at 08/12/14 20:47:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:47:16 ########### ########## Tcl recorder starts at 08/12/14 20:49:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:49:46 ########### ########## Tcl recorder starts at 08/12/14 20:49:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:49:46 ########### ########## Tcl recorder starts at 08/12/14 20:50:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:50:57 ########### ########## Tcl recorder starts at 08/12/14 20:50:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:50:58 ########### ########## Tcl recorder starts at 08/12/14 20:51:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:51:58 ########### ########## Tcl recorder starts at 08/12/14 20:51:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:51:58 ########### ########## Tcl recorder starts at 08/12/14 20:55:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:55:12 ########### ########## Tcl recorder starts at 08/12/14 20:55:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:55:12 ########### ########## Tcl recorder starts at 08/12/14 20:58:09 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 20:58:09 ########### ########## Tcl recorder starts at 08/12/14 21:01:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:01:00 ########### ########## Tcl recorder starts at 08/12/14 21:01:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:01:00 ########### ########## Tcl recorder starts at 08/12/14 21:02:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:02:46 ########### ########## Tcl recorder starts at 08/12/14 21:02:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:02:47 ########### ########## Tcl recorder starts at 08/12/14 21:04:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:04:12 ########### ########## Tcl recorder starts at 08/12/14 21:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:04:13 ########### ########## Tcl recorder starts at 08/12/14 21:07:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:07:40 ########### ########## Tcl recorder starts at 08/12/14 21:07:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:07:40 ########### ########## Tcl recorder starts at 08/12/14 21:12:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:12:15 ########### ########## Tcl recorder starts at 08/12/14 21:12:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:12:15 ########### ########## Tcl recorder starts at 08/12/14 21:14:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:14:01 ########### ########## Tcl recorder starts at 08/12/14 21:14:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:14:01 ########### ########## Tcl recorder starts at 08/12/14 21:16:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:16:19 ########### ########## Tcl recorder starts at 08/12/14 21:16:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:16:19 ########### ########## Tcl recorder starts at 08/12/14 21:17:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:17:21 ########### ########## Tcl recorder starts at 08/12/14 21:17:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:17:21 ########### ########## Tcl recorder starts at 08/12/14 21:18:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:18:44 ########### ########## Tcl recorder starts at 08/12/14 21:18:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:18:44 ########### ########## Tcl recorder starts at 08/12/14 21:19:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:19:15 ########### ########## Tcl recorder starts at 08/12/14 21:19:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:19:15 ########### ########## Tcl recorder starts at 08/12/14 21:19:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:19:38 ########### ########## Tcl recorder starts at 08/12/14 21:19:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:19:38 ########### ########## Tcl recorder starts at 08/12/14 21:21:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:21:07 ########### ########## Tcl recorder starts at 08/12/14 21:21:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/12/14 21:21:07 ########### ########## Tcl recorder starts at 08/13/14 15:13:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:13:14 ########### ########## Tcl recorder starts at 08/13/14 15:13:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:13:14 ########### ########## Tcl recorder starts at 08/13/14 15:19:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:19:55 ########### ########## Tcl recorder starts at 08/13/14 15:19:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:19:56 ########### ########## Tcl recorder starts at 08/13/14 15:22:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:22:12 ########### ########## Tcl recorder starts at 08/13/14 15:22:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:22:12 ########### ########## Tcl recorder starts at 08/13/14 15:25:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:25:50 ########### ########## Tcl recorder starts at 08/13/14 15:25:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:25:50 ########### ########## Tcl recorder starts at 08/13/14 15:27:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:27:00 ########### ########## Tcl recorder starts at 08/13/14 15:27:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:27:01 ########### ########## Tcl recorder starts at 08/13/14 15:35:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:35:17 ########### ########## Tcl recorder starts at 08/13/14 15:35:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:35:18 ########### ########## Tcl recorder starts at 08/13/14 15:37:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:37:06 ########### ########## Tcl recorder starts at 08/13/14 15:37:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:37:06 ########### ########## Tcl recorder starts at 08/13/14 15:38:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:38:48 ########### ########## Tcl recorder starts at 08/13/14 15:38:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:38:48 ########### ########## Tcl recorder starts at 08/13/14 15:40:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:40:27 ########### ########## Tcl recorder starts at 08/13/14 15:40:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:40:27 ########### ########## Tcl recorder starts at 08/13/14 15:41:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:41:46 ########### ########## Tcl recorder starts at 08/13/14 15:41:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:41:47 ########### ########## Tcl recorder starts at 08/13/14 15:42:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:42:17 ########### ########## Tcl recorder starts at 08/13/14 15:42:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:42:17 ########### ########## Tcl recorder starts at 08/13/14 15:44:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:44:02 ########### ########## Tcl recorder starts at 08/13/14 15:44:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:44:02 ########### ########## Tcl recorder starts at 08/13/14 15:55:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:55:35 ########### ########## Tcl recorder starts at 08/13/14 15:55:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:55:35 ########### ########## Tcl recorder starts at 08/13/14 15:56:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:56:47 ########### ########## Tcl recorder starts at 08/13/14 15:56:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:56:48 ########### ########## Tcl recorder starts at 08/13/14 15:57:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:57:50 ########### ########## Tcl recorder starts at 08/13/14 15:57:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:57:50 ########### ########## Tcl recorder starts at 08/13/14 15:59:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:59:04 ########### ########## Tcl recorder starts at 08/13/14 15:59:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:59:04 ########### ########## Tcl recorder starts at 08/13/14 15:59:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:59:53 ########### ########## Tcl recorder starts at 08/13/14 15:59:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 15:59:53 ########### ########## Tcl recorder starts at 08/13/14 16:03:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:03:49 ########### ########## Tcl recorder starts at 08/13/14 16:03:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:03:49 ########### ########## Tcl recorder starts at 08/13/14 16:06:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:06:55 ########### ########## Tcl recorder starts at 08/13/14 16:06:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:06:55 ########### ########## Tcl recorder starts at 08/13/14 16:10:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:10:41 ########### ########## Tcl recorder starts at 08/13/14 16:10:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:10:41 ########### ########## Tcl recorder starts at 08/13/14 16:33:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:33:07 ########### ########## Tcl recorder starts at 08/13/14 16:33:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:33:07 ########### ########## Tcl recorder starts at 08/13/14 16:36:20 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:36:20 ########### ########## Tcl recorder starts at 08/13/14 16:36:33 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:36:33 ########### ########## Tcl recorder starts at 08/13/14 16:37:46 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:37:46 ########### ########## Tcl recorder starts at 08/13/14 16:39:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:39:31 ########### ########## Tcl recorder starts at 08/13/14 16:39:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:39:32 ########### ########## Tcl recorder starts at 08/13/14 16:42:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:42:15 ########### ########## Tcl recorder starts at 08/13/14 16:42:15 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:42:15 ########### ########## Tcl recorder starts at 08/13/14 16:43:03 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:43:03 ########### ########## Tcl recorder starts at 08/13/14 16:43:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:43:17 ########### ########## Tcl recorder starts at 08/13/14 16:46:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:46:38 ########### ########## Tcl recorder starts at 08/13/14 16:46:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:46:39 ########### ########## Tcl recorder starts at 08/13/14 16:47:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:47:54 ########### ########## Tcl recorder starts at 08/13/14 16:47:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:47:54 ########### ########## Tcl recorder starts at 08/13/14 16:50:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:50:07 ########### ########## Tcl recorder starts at 08/13/14 16:50:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:50:07 ########### ########## Tcl recorder starts at 08/13/14 16:52:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:52:12 ########### ########## Tcl recorder starts at 08/13/14 16:52:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/13/14 16:52:12 ########### ########## Tcl recorder starts at 08/14/14 15:09:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/14/14 15:09:14 ########### ########## Tcl recorder starts at 08/14/14 15:09:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/14/14 15:09:15 ########### ########## Tcl recorder starts at 08/14/14 15:10:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/14/14 15:10:51 ########### ########## Tcl recorder starts at 08/14/14 15:10:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/14/14 15:10:51 ########### ########## Tcl recorder starts at 08/26/14 19:56:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:56:06 ########### ########## Tcl recorder starts at 08/26/14 19:56:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:56:06 ########### ########## Tcl recorder starts at 08/26/14 19:57:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:57:45 ########### ########## Tcl recorder starts at 08/26/14 19:57:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:57:45 ########### ########## Tcl recorder starts at 08/26/14 19:59:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:59:24 ########### ########## Tcl recorder starts at 08/26/14 19:59:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 19:59:25 ########### ########## Tcl recorder starts at 08/26/14 20:03:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:03:29 ########### ########## Tcl recorder starts at 08/26/14 20:03:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:03:30 ########### ########## Tcl recorder starts at 08/26/14 20:05:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:05:28 ########### ########## Tcl recorder starts at 08/26/14 20:05:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:05:28 ########### ########## Tcl recorder starts at 08/26/14 20:05:43 ########## # Commands to make the Process: # Timing Report if [runCmd "\"$cpld_bin/timer\" -inp \"68030_tk.tte\" -lci \"68030_tk.lct\" -stamp \"68030_tk.trp\" -exf \"BUS68030.exf\" -lco \"68030_tk.lco\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:05:43 ########### ########## Tcl recorder starts at 08/26/14 20:07:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:07:08 ########### ########## Tcl recorder starts at 08/26/14 20:07:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/14 20:07:08 ########### ########## Tcl recorder starts at 09/01/14 22:35:38 ########## # Commands to make the Process: # Constraint Editor if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:35:38 ########### ########## Tcl recorder starts at 09/01/14 22:37:06 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:37:06 ########### ########## Tcl recorder starts at 09/01/14 22:37:40 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:37:40 ########### ########## Tcl recorder starts at 09/01/14 22:41:38 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:41:38 ########### ########## Tcl recorder starts at 09/01/14 22:43:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:43:03 ########### ########## Tcl recorder starts at 09/01/14 22:44:20 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:44:20 ########### ########## Tcl recorder starts at 09/01/14 22:47:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:47:56 ########### ########## Tcl recorder starts at 09/01/14 22:52:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:52:28 ########### ########## Tcl recorder starts at 09/01/14 22:52:28 ########## # Commands to make the Process: # ISC-1532 File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:52:28 ########### ########## Tcl recorder starts at 09/01/14 22:53:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:53:33 ########### ########## Tcl recorder starts at 09/01/14 22:53:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:53:33 ########### ########## Tcl recorder starts at 09/01/14 22:54:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:54:45 ########### ########## Tcl recorder starts at 09/01/14 22:54:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 22:54:45 ########### ########## Tcl recorder starts at 09/01/14 23:02:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 23:02:16 ########### ########## Tcl recorder starts at 09/01/14 23:02:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/01/14 23:02:16 ########### ########## Tcl recorder starts at 09/04/14 21:24:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:24:43 ########### ########## Tcl recorder starts at 09/04/14 21:24:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:24:44 ########### ########## Tcl recorder starts at 09/04/14 21:25:06 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:25:07 ########### ########## Tcl recorder starts at 09/04/14 21:25:20 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:25:20 ########### ########## Tcl recorder starts at 09/04/14 21:35:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:35:23 ########### ########## Tcl recorder starts at 09/04/14 21:35:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/04/14 21:35:23 ########### ########## Tcl recorder starts at 09/06/14 20:57:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 20:57:15 ########### ########## Tcl recorder starts at 09/06/14 20:57:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 20:57:16 ########### ########## Tcl recorder starts at 09/06/14 21:01:03 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:01:03 ########### ########## Tcl recorder starts at 09/06/14 21:01:28 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:01:28 ########### ########## Tcl recorder starts at 09/06/14 21:07:04 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:07:04 ########### ########## Tcl recorder starts at 09/06/14 21:07:14 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:07:14 ########### ########## Tcl recorder starts at 09/06/14 21:59:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:59:45 ########### ########## Tcl recorder starts at 09/06/14 21:59:45 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 21:59:45 ########### ########## Tcl recorder starts at 09/06/14 22:01:13 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/06/14 22:01:13 ########### ########## Tcl recorder starts at 09/12/14 15:53:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 15:53:00 ########### ########## Tcl recorder starts at 09/12/14 15:53:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 15:53:00 ########### ########## Tcl recorder starts at 09/12/14 16:09:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:09:28 ########### ########## Tcl recorder starts at 09/12/14 16:09:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:09:28 ########### ########## Tcl recorder starts at 09/12/14 16:10:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:10:48 ########### ########## Tcl recorder starts at 09/12/14 16:10:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:10:48 ########### ########## Tcl recorder starts at 09/12/14 16:11:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:11:25 ########### ########## Tcl recorder starts at 09/12/14 16:11:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:11:26 ########### ########## Tcl recorder starts at 09/12/14 16:15:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:15:21 ########### ########## Tcl recorder starts at 09/12/14 16:15:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:15:21 ########### ########## Tcl recorder starts at 09/12/14 16:15:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:15:40 ########### ########## Tcl recorder starts at 09/12/14 16:15:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 16:15:41 ########### ########## Tcl recorder starts at 09/12/14 18:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:44:41 ########### ########## Tcl recorder starts at 09/12/14 18:44:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:44:41 ########### ########## Tcl recorder starts at 09/12/14 18:45:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:45:13 ########### ########## Tcl recorder starts at 09/12/14 18:45:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:45:14 ########### ########## Tcl recorder starts at 09/12/14 18:46:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:46:53 ########### ########## Tcl recorder starts at 09/12/14 18:46:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/12/14 18:46:53 ########### ########## Tcl recorder starts at 09/14/14 16:37:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:37:34 ########### ########## Tcl recorder starts at 09/14/14 16:37:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:37:34 ########### ########## Tcl recorder starts at 09/14/14 16:45:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:45:56 ########### ########## Tcl recorder starts at 09/14/14 16:45:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:45:56 ########### ########## Tcl recorder starts at 09/14/14 16:47:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:47:26 ########### ########## Tcl recorder starts at 09/14/14 16:47:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:47:26 ########### ########## Tcl recorder starts at 09/14/14 16:50:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:50:17 ########### ########## Tcl recorder starts at 09/14/14 16:50:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:50:17 ########### ########## Tcl recorder starts at 09/14/14 16:53:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:53:04 ########### ########## Tcl recorder starts at 09/14/14 16:53:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:53:05 ########### ########## Tcl recorder starts at 09/14/14 16:54:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:54:42 ########### ########## Tcl recorder starts at 09/14/14 16:54:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 16:54:43 ########### ########## Tcl recorder starts at 09/14/14 18:48:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 18:48:52 ########### ########## Tcl recorder starts at 09/14/14 18:48:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 18:48:52 ########### ########## Tcl recorder starts at 09/14/14 19:11:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:11:29 ########### ########## Tcl recorder starts at 09/14/14 19:11:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:11:30 ########### ########## Tcl recorder starts at 09/14/14 19:13:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:13:08 ########### ########## Tcl recorder starts at 09/14/14 19:13:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:13:08 ########### ########## Tcl recorder starts at 09/14/14 19:23:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:23:00 ########### ########## Tcl recorder starts at 09/14/14 19:23:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 19:23:00 ########### ########## Tcl recorder starts at 09/14/14 21:39:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:39:18 ########### ########## Tcl recorder starts at 09/14/14 21:39:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:39:18 ########### ########## Tcl recorder starts at 09/14/14 21:44:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:44:54 ########### ########## Tcl recorder starts at 09/14/14 21:44:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:44:55 ########### ########## Tcl recorder starts at 09/14/14 21:45:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:45:30 ########### ########## Tcl recorder starts at 09/14/14 21:45:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 21:45:30 ########### ########## Tcl recorder starts at 09/14/14 22:02:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 22:02:07 ########### ########## Tcl recorder starts at 09/14/14 22:02:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/14 22:02:08 ########### ########## Tcl recorder starts at 09/15/14 19:08:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 19:08:22 ########### ########## Tcl recorder starts at 09/15/14 19:08:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 19:08:22 ########### ########## Tcl recorder starts at 09/15/14 19:11:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 19:11:50 ########### ########## Tcl recorder starts at 09/15/14 19:11:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 19:11:50 ########### ########## Tcl recorder starts at 09/15/14 21:01:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 21:01:52 ########### ########## Tcl recorder starts at 09/15/14 21:01:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 21:01:52 ########### ########## Tcl recorder starts at 09/15/14 21:22:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 21:22:01 ########### ########## Tcl recorder starts at 09/15/14 21:53:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 21:53:27 ########### ########## Tcl recorder starts at 09/15/14 21:53:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/15/14 21:53:27 ########### ########## Tcl recorder starts at 09/16/14 14:49:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/16/14 14:49:27 ########### ########## Tcl recorder starts at 09/16/14 14:49:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/16/14 14:49:27 ########### <<<<<<< HEAD ########## Tcl recorder starts at 10/02/14 13:59:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 13:59:46 ########### ########## Tcl recorder starts at 10/02/14 13:59:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 13:59:47 ########### ########## Tcl recorder starts at 10/02/14 14:02:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:02:46 ########### ########## Tcl recorder starts at 10/02/14 14:02:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:02:46 ########### ########## Tcl recorder starts at 10/02/14 14:04:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:04:13 ########### ########## Tcl recorder starts at 10/02/14 14:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:04:13 ########### ########## Tcl recorder starts at 10/02/14 14:04:39 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:04:39 ########### ########## Tcl recorder starts at 10/02/14 14:06:10 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:06:10 ########### ########## Tcl recorder starts at 10/02/14 14:13:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:13:45 ########### ########## Tcl recorder starts at 10/02/14 14:14:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 14:14:44 ########### ########## Tcl recorder starts at 10/02/14 19:35:13 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:35:13 ########### ########## Tcl recorder starts at 10/02/14 19:35:52 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:35:52 ########### ########## Tcl recorder starts at 10/02/14 19:36:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:36:56 ########### ########## Tcl recorder starts at 10/02/14 19:38:14 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:38:14 ########### ########## Tcl recorder starts at 10/02/14 19:38:55 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:38:55 ########### ########## Tcl recorder starts at 10/02/14 19:39:36 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:39:36 ########### ########## Tcl recorder starts at 10/02/14 19:41:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:41:04 ########### ########## Tcl recorder starts at 10/02/14 19:42:36 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:42:36 ########### ########## Tcl recorder starts at 10/02/14 19:46:31 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:46:31 ########### ########## Tcl recorder starts at 10/02/14 19:46:53 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:46:53 ########### ########## Tcl recorder starts at 10/02/14 19:47:42 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:47:42 ########### ########## Tcl recorder starts at 10/02/14 19:47:57 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:47:57 ########### ########## Tcl recorder starts at 10/02/14 19:48:25 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:48:25 ########### ########## Tcl recorder starts at 10/02/14 19:48:34 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:48:34 ########### ########## Tcl recorder starts at 10/02/14 19:49:09 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 19:49:09 ########### ########## Tcl recorder starts at 10/02/14 20:18:23 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 20:18:23 ########### ########## Tcl recorder starts at 10/02/14 23:09:21 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:09:21 ########### ########## Tcl recorder starts at 10/02/14 23:15:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:15:42 ########### ########## Tcl recorder starts at 10/02/14 23:15:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:15:42 ########### ########## Tcl recorder starts at 10/02/14 23:16:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:16:42 ########### ########## Tcl recorder starts at 10/02/14 23:16:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:16:43 ########### ########## Tcl recorder starts at 10/02/14 23:17:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:17:06 ########### ########## Tcl recorder starts at 10/02/14 23:17:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:17:06 ########### ########## Tcl recorder starts at 10/02/14 23:17:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:17:28 ########### ########## Tcl recorder starts at 10/02/14 23:17:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:17:28 ########### ########## Tcl recorder starts at 10/02/14 23:19:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:19:33 ########### ########## Tcl recorder starts at 10/02/14 23:19:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:19:33 ########### ########## Tcl recorder starts at 10/02/14 23:21:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:21:11 ########### ########## Tcl recorder starts at 10/02/14 23:21:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:21:11 ########### ########## Tcl recorder starts at 10/02/14 23:24:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:24:20 ########### ########## Tcl recorder starts at 10/02/14 23:24:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:24:20 ########### ########## Tcl recorder starts at 10/02/14 23:24:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:24:38 ########### ########## Tcl recorder starts at 10/02/14 23:24:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:24:38 ########### ########## Tcl recorder starts at 10/02/14 23:27:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:27:04 ########### ########## Tcl recorder starts at 10/02/14 23:27:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:27:04 ########### ########## Tcl recorder starts at 10/02/14 23:27:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:27:30 ########### ########## Tcl recorder starts at 10/02/14 23:27:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:27:31 ########### ########## Tcl recorder starts at 10/02/14 23:29:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:29:51 ########### ########## Tcl recorder starts at 10/02/14 23:29:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:29:51 ########### ########## Tcl recorder starts at 10/02/14 23:31:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:31:27 ########### ########## Tcl recorder starts at 10/02/14 23:31:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:31:27 ########### ########## Tcl recorder starts at 10/02/14 23:32:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:32:53 ########### ########## Tcl recorder starts at 10/02/14 23:36:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:36:04 ########### ########## Tcl recorder starts at 10/02/14 23:36:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:36:04 ########### ########## Tcl recorder starts at 10/02/14 23:39:15 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:39:15 ########### ########## Tcl recorder starts at 10/02/14 23:39:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:39:44 ########### ########## Tcl recorder starts at 10/02/14 23:41:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:41:54 ########### ########## Tcl recorder starts at 10/02/14 23:49:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:49:46 ########### ########## Tcl recorder starts at 10/02/14 23:49:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:49:46 ########### ########## Tcl recorder starts at 10/02/14 23:52:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:52:48 ########### ########## Tcl recorder starts at 10/02/14 23:52:48 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:52:48 ########### ########## Tcl recorder starts at 10/02/14 23:53:10 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:53:10 ########### ########## Tcl recorder starts at 10/02/14 23:55:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:55:14 ########### ########## Tcl recorder starts at 10/02/14 23:55:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/02/14 23:55:15 ########### ########## Tcl recorder starts at 10/03/14 11:10:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:10:28 ########### ########## Tcl recorder starts at 10/03/14 11:10:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:10:28 ########### ########## Tcl recorder starts at 10/03/14 11:11:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:11:07 ########### ########## Tcl recorder starts at 10/03/14 11:11:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:11:07 ########### ########## Tcl recorder starts at 10/03/14 11:11:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:11:37 ########### ########## Tcl recorder starts at 10/03/14 11:11:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:11:37 ########### ########## Tcl recorder starts at 10/03/14 11:13:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:13:41 ########### ########## Tcl recorder starts at 10/03/14 11:13:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/03/14 11:13:41 ########### ########## Tcl recorder starts at 10/05/14 00:12:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 00:12:08 ########### ########## Tcl recorder starts at 10/05/14 00:12:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 00:12:08 ########### ########## Tcl recorder starts at 10/05/14 00:12:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 00:12:34 ########### ########## Tcl recorder starts at 10/05/14 00:12:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 00:12:34 ########### ########## Tcl recorder starts at 10/05/14 21:30:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 21:30:23 ########### ########## Tcl recorder starts at 10/05/14 21:30:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 21:30:24 ########### ########## Tcl recorder starts at 10/05/14 21:32:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 21:32:32 ########### ########## Tcl recorder starts at 10/05/14 21:32:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/14 21:32:32 ########### ########## Tcl recorder starts at 10/09/14 13:40:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/09/14 13:40:38 ########### ########## Tcl recorder starts at 10/10/14 22:35:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:35:33 ########### ########## Tcl recorder starts at 10/10/14 22:35:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:35:33 ########### ########## Tcl recorder starts at 10/10/14 22:38:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:38:12 ########### ########## Tcl recorder starts at 10/10/14 22:38:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:38:12 ########### ########## Tcl recorder starts at 10/10/14 22:39:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:39:56 ########### ########## Tcl recorder starts at 10/10/14 22:39:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:39:56 ########### ======= >>>>>>> parent of a42d9d7... More stability in constraints ########## Tcl recorder starts at 10/10/14 22:44:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/14 22:44:35 ########### ########## Tcl recorder starts at 10/11/14 09:55:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:55:43 ########### ########## Tcl recorder starts at 10/11/14 09:55:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:55:44 ########### ########## Tcl recorder starts at 10/11/14 09:56:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:56:39 ########### ########## Tcl recorder starts at 10/11/14 09:56:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:56:40 ########### ########## Tcl recorder starts at 10/11/14 09:58:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:58:28 ########### ########## Tcl recorder starts at 10/11/14 09:58:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 09:58:28 ########### ########## Tcl recorder starts at 10/11/14 21:54:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 21:54:41 ########### ########## Tcl recorder starts at 10/11/14 21:54:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 21:54:42 ########### ########## Tcl recorder starts at 10/11/14 22:03:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:03:19 ########### ########## Tcl recorder starts at 10/11/14 22:03:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:03:19 ########### ########## Tcl recorder starts at 10/11/14 22:07:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:07:04 ########### ########## Tcl recorder starts at 10/11/14 22:07:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:07:04 ########### ########## Tcl recorder starts at 10/11/14 22:43:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:43:55 ########### ########## Tcl recorder starts at 10/11/14 22:43:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 22:43:55 ########### ########## Tcl recorder starts at 10/11/14 23:11:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:11:14 ########### ########## Tcl recorder starts at 10/11/14 23:11:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:11:14 ########### ########## Tcl recorder starts at 10/11/14 23:34:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:06 ########### ########## Tcl recorder starts at 10/11/14 23:34:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:06 ########### ########## Tcl recorder starts at 10/11/14 23:34:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:24 ########### ########## Tcl recorder starts at 10/11/14 23:34:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:24 ########### ########## Tcl recorder starts at 10/11/14 23:34:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:53 ########### ########## Tcl recorder starts at 10/11/14 23:34:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/11/14 23:34:53 ########### ########## Tcl recorder starts at 10/12/14 00:04:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/12/14 00:04:53 ########### ########## Tcl recorder starts at 10/12/14 00:04:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/12/14 00:04:53 ########### ########## Tcl recorder starts at 10/16/14 21:53:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/16/14 21:53:41 ########### ########## Tcl recorder starts at 10/16/14 21:53:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/16/14 21:53:41 ########### ########## Tcl recorder starts at 10/16/14 21:59:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/16/14 21:59:04 ########### ########## Tcl recorder starts at 10/16/14 21:59:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/16/14 21:59:04 ########### ########## Tcl recorder starts at 01/04/15 14:23:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/04/15 14:23:48 ########### ########## Tcl recorder starts at 01/04/15 14:23:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/04/15 14:23:48 ########### ########## Tcl recorder starts at 01/04/15 14:30:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/04/15 14:30:25 ########### ########## Tcl recorder starts at 01/04/15 14:30:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/04/15 14:30:25 ########### ########## Tcl recorder starts at 01/10/15 12:20:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:20:00 ########### ########## Tcl recorder starts at 01/10/15 12:20:00 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:20:00 ########### ########## Tcl recorder starts at 01/10/15 12:25:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:25:25 ########### ########## Tcl recorder starts at 01/10/15 12:25:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:25:26 ########### ########## Tcl recorder starts at 01/10/15 12:26:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:26:02 ########### ########## Tcl recorder starts at 01/10/15 12:26:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:26:02 ########### ########## Tcl recorder starts at 01/10/15 12:39:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:39:07 ########### ########## Tcl recorder starts at 01/10/15 12:39:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/10/15 12:39:07 ########### ########## Tcl recorder starts at 01/11/15 22:15:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:15:29 ########### ########## Tcl recorder starts at 01/11/15 22:15:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:15:29 ########### ########## Tcl recorder starts at 01/11/15 22:23:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:23:20 ########### ########## Tcl recorder starts at 01/11/15 22:23:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:23:21 ########### ########## Tcl recorder starts at 01/11/15 22:28:54 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:28:54 ########### ########## Tcl recorder starts at 01/11/15 22:29:21 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:29:21 ########### ########## Tcl recorder starts at 01/11/15 22:30:53 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/11/15 22:30:53 ########### ########## Tcl recorder starts at 01/12/15 21:56:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/12/15 21:56:33 ########### ########## Tcl recorder starts at 01/12/15 21:56:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/12/15 21:56:33 ########### ########## Tcl recorder starts at 01/12/15 22:00:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/12/15 22:00:31 ########### ########## Tcl recorder starts at 01/12/15 22:00:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/12/15 22:00:32 ########### ########## Tcl recorder starts at 01/18/15 22:15:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:15:46 ########### ########## Tcl recorder starts at 01/18/15 22:15:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:15:46 ########### ########## Tcl recorder starts at 01/18/15 22:24:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:24:18 ########### ########## Tcl recorder starts at 01/18/15 22:24:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:24:18 ########### ########## Tcl recorder starts at 01/18/15 22:35:35 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:35:35 ########### ########## Tcl recorder starts at 01/18/15 22:36:25 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:36:25 ########### ########## Tcl recorder starts at 01/18/15 22:37:42 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:37:42 ########### ########## Tcl recorder starts at 01/18/15 22:38:32 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:38:32 ########### ########## Tcl recorder starts at 01/18/15 22:44:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:44:30 ########### ########## Tcl recorder starts at 01/18/15 22:44:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:44:31 ########### ########## Tcl recorder starts at 01/18/15 22:44:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:44:53 ########### ########## Tcl recorder starts at 01/18/15 22:44:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:44:54 ########### ########## Tcl recorder starts at 01/18/15 22:46:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:46:36 ########### ########## Tcl recorder starts at 01/18/15 22:46:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/18/15 22:46:37 ########### ########## Tcl recorder starts at 02/01/15 20:05:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 20:05:34 ########### ########## Tcl recorder starts at 02/01/15 20:05:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 20:05:35 ########### ########## Tcl recorder starts at 02/01/15 20:15:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 20:15:14 ########### ########## Tcl recorder starts at 02/01/15 20:15:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 20:15:14 ########### ########## Tcl recorder starts at 02/01/15 21:11:01 ########## # Commands to make the Process: # ISC-1532 File if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:11:01 ########### ########## Tcl recorder starts at 02/01/15 21:11:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:11:25 ########### ########## Tcl recorder starts at 02/01/15 21:13:56 ########## # Commands to make the Process: # Stamp Model File if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/timer\" -inp \"68030_tk.tte\" -lci \"68030_tk.lct\" -stamp \"68030_tk.trp\" -exf \"BUS68030.exf\" -lco \"68030_tk.lco\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/stamppar\" -i 68030_tk.trp "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:13:56 ########### ########## Tcl recorder starts at 02/01/15 21:16:02 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:16:02 ########### ########## Tcl recorder starts at 02/01/15 21:16:20 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:16:20 ########### ########## Tcl recorder starts at 02/01/15 21:17:54 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:17:54 ########### ########## Tcl recorder starts at 02/01/15 21:18:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:18:05 ########### ########## Tcl recorder starts at 02/01/15 21:29:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:29:45 ########### ########## Tcl recorder starts at 02/01/15 21:29:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:29:45 ########### ########## Tcl recorder starts at 02/01/15 21:34:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:34:44 ########### ########## Tcl recorder starts at 02/01/15 21:34:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:34:44 ########### ########## Tcl recorder starts at 02/01/15 21:35:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:35:48 ########### ########## Tcl recorder starts at 02/01/15 21:35:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:35:48 ########### ########## Tcl recorder starts at 02/01/15 21:36:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:36:43 ########### ########## Tcl recorder starts at 02/01/15 21:36:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:36:43 ########### ########## Tcl recorder starts at 02/01/15 21:42:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:42:18 ########### ########## Tcl recorder starts at 02/01/15 21:42:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:42:18 ########### ########## Tcl recorder starts at 02/01/15 21:45:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:45:03 ########### ########## Tcl recorder starts at 02/01/15 21:45:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:45:03 ########### ########## Tcl recorder starts at 02/01/15 21:46:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:46:59 ########### ########## Tcl recorder starts at 02/01/15 21:46:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:46:59 ########### ########## Tcl recorder starts at 02/01/15 21:48:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:48:11 ########### ########## Tcl recorder starts at 02/01/15 21:48:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:48:11 ########### ########## Tcl recorder starts at 02/01/15 21:49:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:49:40 ########### ########## Tcl recorder starts at 02/01/15 21:49:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:49:41 ########### ########## Tcl recorder starts at 02/01/15 21:50:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:50:44 ########### ########## Tcl recorder starts at 02/01/15 21:50:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:50:45 ########### ########## Tcl recorder starts at 02/01/15 21:51:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:51:53 ########### ########## Tcl recorder starts at 02/01/15 21:51:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:51:53 ########### ########## Tcl recorder starts at 02/01/15 21:53:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:53:37 ########### ########## Tcl recorder starts at 02/01/15 21:53:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:53:38 ########### ########## Tcl recorder starts at 02/01/15 21:54:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:54:33 ########### ########## Tcl recorder starts at 02/01/15 21:54:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:54:34 ########### ########## Tcl recorder starts at 02/01/15 21:55:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:55:19 ########### ########## Tcl recorder starts at 02/01/15 21:55:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:55:19 ########### ########## Tcl recorder starts at 02/01/15 21:56:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:56:11 ########### ########## Tcl recorder starts at 02/01/15 21:56:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:56:11 ########### ########## Tcl recorder starts at 02/01/15 21:56:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:56:43 ########### ########## Tcl recorder starts at 02/01/15 21:56:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:56:43 ########### ########## Tcl recorder starts at 02/01/15 21:57:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:57:19 ########### ########## Tcl recorder starts at 02/01/15 21:57:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:57:19 ########### ########## Tcl recorder starts at 02/01/15 21:57:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:57:40 ########### ########## Tcl recorder starts at 02/01/15 21:57:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:57:40 ########### ########## Tcl recorder starts at 02/01/15 21:58:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:58:37 ########### ########## Tcl recorder starts at 02/01/15 21:58:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:58:37 ########### ########## Tcl recorder starts at 02/01/15 21:59:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:59:30 ########### ########## Tcl recorder starts at 02/01/15 21:59:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 21:59:30 ########### ########## Tcl recorder starts at 02/01/15 22:00:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 22:00:07 ########### ########## Tcl recorder starts at 02/01/15 22:00:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/01/15 22:00:07 ########### ########## Tcl recorder starts at 02/02/15 19:01:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:01:54 ########### ########## Tcl recorder starts at 02/02/15 19:01:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:01:54 ########### ########## Tcl recorder starts at 02/02/15 19:06:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:06:18 ########### ########## Tcl recorder starts at 02/02/15 19:06:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:06:18 ########### ########## Tcl recorder starts at 02/02/15 19:06:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:06:36 ########### ########## Tcl recorder starts at 02/02/15 19:06:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:06:36 ########### ########## Tcl recorder starts at 02/02/15 19:07:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:07:00 ########### ########## Tcl recorder starts at 02/02/15 19:07:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:07:00 ########### ########## Tcl recorder starts at 02/02/15 19:07:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:07:17 ########### ########## Tcl recorder starts at 02/02/15 19:07:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:07:18 ########### ########## Tcl recorder starts at 02/02/15 19:09:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:09:00 ########### ########## Tcl recorder starts at 02/02/15 19:09:00 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:09:00 ########### ########## Tcl recorder starts at 02/02/15 19:09:24 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:09:24 ########### ########## Tcl recorder starts at 02/02/15 19:10:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:10:16 ########### ########## Tcl recorder starts at 02/02/15 19:10:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:10:17 ########### ########## Tcl recorder starts at 02/02/15 19:10:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:10:58 ########### ########## Tcl recorder starts at 02/02/15 19:10:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:10:59 ########### ########## Tcl recorder starts at 02/02/15 19:12:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:12:03 ########### ########## Tcl recorder starts at 02/02/15 19:12:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:12:04 ########### ########## Tcl recorder starts at 02/02/15 19:16:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:16:12 ########### ########## Tcl recorder starts at 02/02/15 19:16:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:16:12 ########### ########## Tcl recorder starts at 02/02/15 19:17:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:17:15 ########### ########## Tcl recorder starts at 02/02/15 19:17:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:17:15 ########### ########## Tcl recorder starts at 02/02/15 19:19:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:19:27 ########### ########## Tcl recorder starts at 02/02/15 19:19:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:19:27 ########### ########## Tcl recorder starts at 02/02/15 19:20:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:20:52 ########### ########## Tcl recorder starts at 02/02/15 19:20:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:20:52 ########### ########## Tcl recorder starts at 02/02/15 19:21:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:21:10 ########### ########## Tcl recorder starts at 02/02/15 19:21:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:21:10 ########### ########## Tcl recorder starts at 02/02/15 19:22:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:22:23 ########### ########## Tcl recorder starts at 02/02/15 19:22:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:22:23 ########### ########## Tcl recorder starts at 02/02/15 19:25:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:20 ########### ########## Tcl recorder starts at 02/02/15 19:25:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:21 ########### ########## Tcl recorder starts at 02/02/15 19:25:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:41 ########### ########## Tcl recorder starts at 02/02/15 19:25:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:41 ########### ########## Tcl recorder starts at 02/02/15 19:25:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:55 ########### ########## Tcl recorder starts at 02/02/15 19:25:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:25:55 ########### ########## Tcl recorder starts at 02/02/15 19:29:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:29:56 ########### ########## Tcl recorder starts at 02/02/15 19:29:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:29:56 ########### ########## Tcl recorder starts at 02/02/15 19:30:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:30:13 ########### ########## Tcl recorder starts at 02/02/15 19:30:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:30:13 ########### ########## Tcl recorder starts at 02/02/15 19:30:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:30:32 ########### ########## Tcl recorder starts at 02/02/15 19:30:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:30:32 ########### ########## Tcl recorder starts at 02/02/15 19:31:15 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:31:15 ########### ########## Tcl recorder starts at 02/02/15 19:31:24 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:31:24 ########### ########## Tcl recorder starts at 02/02/15 19:33:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:33:23 ########### ########## Tcl recorder starts at 02/02/15 19:33:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:33:23 ########### ########## Tcl recorder starts at 02/02/15 19:33:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:33:39 ########### ########## Tcl recorder starts at 02/02/15 19:33:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:33:39 ########### ########## Tcl recorder starts at 02/02/15 19:34:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:34:01 ########### ########## Tcl recorder starts at 02/02/15 19:34:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:34:01 ########### ########## Tcl recorder starts at 02/02/15 19:34:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:34:57 ########### ########## Tcl recorder starts at 02/02/15 19:34:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:34:57 ########### ########## Tcl recorder starts at 02/02/15 19:39:13 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:39:13 ########### ########## Tcl recorder starts at 02/02/15 19:39:23 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:39:23 ########### ########## Tcl recorder starts at 02/02/15 19:41:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:41:10 ########### ########## Tcl recorder starts at 02/02/15 19:41:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:41:10 ########### ########## Tcl recorder starts at 02/02/15 19:42:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:42:44 ########### ########## Tcl recorder starts at 02/02/15 19:42:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:42:44 ########### ########## Tcl recorder starts at 02/02/15 19:43:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:43:49 ########### ########## Tcl recorder starts at 02/02/15 19:43:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:43:49 ########### ########## Tcl recorder starts at 02/02/15 19:47:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:47:28 ########### ########## Tcl recorder starts at 02/02/15 19:47:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:47:28 ########### ########## Tcl recorder starts at 02/02/15 19:47:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:47:41 ########### ########## Tcl recorder starts at 02/02/15 19:47:41 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:47:41 ########### ########## Tcl recorder starts at 02/02/15 19:49:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:49:13 ########### ########## Tcl recorder starts at 02/02/15 19:49:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:49:13 ########### ########## Tcl recorder starts at 02/02/15 19:53:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:53:18 ########### ########## Tcl recorder starts at 02/02/15 19:53:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:53:18 ########### ########## Tcl recorder starts at 02/02/15 19:54:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:54:55 ########### ########## Tcl recorder starts at 02/02/15 19:54:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/02/15 19:54:55 ########### ########## Tcl recorder starts at 02/03/15 09:23:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 09:23:39 ########### ########## Tcl recorder starts at 02/03/15 09:23:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 09:23:39 ########### ########## Tcl recorder starts at 02/03/15 11:29:43 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:29:43 ########### ########## Tcl recorder starts at 02/03/15 11:30:00 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:30:00 ########### ########## Tcl recorder starts at 02/03/15 11:35:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:35:35 ########### ########## Tcl recorder starts at 02/03/15 11:35:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:35:35 ########### ########## Tcl recorder starts at 02/03/15 11:36:09 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:36:09 ########### ########## Tcl recorder starts at 02/03/15 11:36:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:36:52 ########### ########## Tcl recorder starts at 02/03/15 11:36:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:36:52 ########### ########## Tcl recorder starts at 02/03/15 11:37:40 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:37:40 ########### ########## Tcl recorder starts at 02/03/15 11:37:49 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:37:49 ########### ########## Tcl recorder starts at 02/03/15 11:39:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:39:35 ########### ########## Tcl recorder starts at 02/03/15 11:39:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:39:35 ########### ########## Tcl recorder starts at 02/03/15 11:42:35 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:42:35 ########### ########## Tcl recorder starts at 02/03/15 11:42:49 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:42:49 ########### ########## Tcl recorder starts at 02/03/15 11:44:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:44:31 ########### ########## Tcl recorder starts at 02/03/15 11:44:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:44:31 ########### ########## Tcl recorder starts at 02/03/15 11:48:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:48:45 ########### ########## Tcl recorder starts at 02/03/15 11:48:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:48:45 ########### ########## Tcl recorder starts at 02/03/15 11:50:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:50:40 ########### ########## Tcl recorder starts at 02/03/15 11:50:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:50:40 ########### ########## Tcl recorder starts at 02/03/15 11:53:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:53:19 ########### ########## Tcl recorder starts at 02/03/15 11:53:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:53:19 ########### ########## Tcl recorder starts at 02/03/15 11:54:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:54:28 ########### ########## Tcl recorder starts at 02/03/15 11:54:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:54:29 ########### ########## Tcl recorder starts at 02/03/15 11:56:02 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:56:02 ########### ########## Tcl recorder starts at 02/03/15 11:56:08 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:56:08 ########### ########## Tcl recorder starts at 02/03/15 11:57:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:57:43 ########### ########## Tcl recorder starts at 02/03/15 11:57:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:57:43 ########### ########## Tcl recorder starts at 02/03/15 11:58:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:58:53 ########### ########## Tcl recorder starts at 02/03/15 11:58:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 11:58:53 ########### ########## Tcl recorder starts at 02/03/15 12:00:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:00:18 ########### ########## Tcl recorder starts at 02/03/15 12:00:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:00:18 ########### ########## Tcl recorder starts at 02/03/15 12:02:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:02:03 ########### ########## Tcl recorder starts at 02/03/15 12:02:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:02:03 ########### ########## Tcl recorder starts at 02/03/15 12:04:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:04:05 ########### ########## Tcl recorder starts at 02/03/15 12:04:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:04:05 ########### ########## Tcl recorder starts at 02/03/15 12:05:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:05:45 ########### ########## Tcl recorder starts at 02/03/15 12:05:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:05:45 ########### ########## Tcl recorder starts at 02/03/15 12:06:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:06:45 ########### ########## Tcl recorder starts at 02/03/15 12:06:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:06:45 ########### ########## Tcl recorder starts at 02/03/15 12:07:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:07:30 ########### ########## Tcl recorder starts at 02/03/15 12:07:31 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:07:31 ########### ########## Tcl recorder starts at 02/03/15 12:08:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:08:15 ########### ########## Tcl recorder starts at 02/03/15 12:08:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:08:15 ########### ########## Tcl recorder starts at 02/03/15 12:09:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:09:08 ########### ########## Tcl recorder starts at 02/03/15 12:09:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:09:08 ########### ########## Tcl recorder starts at 02/03/15 12:11:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:11:36 ########### ########## Tcl recorder starts at 02/03/15 12:11:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:11:36 ########### ########## Tcl recorder starts at 02/03/15 12:12:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:12:28 ########### ########## Tcl recorder starts at 02/03/15 12:12:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:12:28 ########### ########## Tcl recorder starts at 02/03/15 12:13:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:13:35 ########### ########## Tcl recorder starts at 02/03/15 12:13:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:13:36 ########### ########## Tcl recorder starts at 02/03/15 12:15:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:15:33 ########### ########## Tcl recorder starts at 02/03/15 12:15:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:15:34 ########### ########## Tcl recorder starts at 02/03/15 12:25:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:25:25 ########### ########## Tcl recorder starts at 02/03/15 12:25:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:25:25 ########### ########## Tcl recorder starts at 02/03/15 12:27:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:27:49 ########### ########## Tcl recorder starts at 02/03/15 12:27:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:27:49 ########### ########## Tcl recorder starts at 02/03/15 12:29:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:29:05 ########### ########## Tcl recorder starts at 02/03/15 12:29:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:29:05 ########### ########## Tcl recorder starts at 02/03/15 12:30:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:30:20 ########### ########## Tcl recorder starts at 02/03/15 12:30:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:30:20 ########### ########## Tcl recorder starts at 02/03/15 12:31:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:31:21 ########### ########## Tcl recorder starts at 02/03/15 12:31:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:31:21 ########### ########## Tcl recorder starts at 02/03/15 12:33:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:33:01 ########### ########## Tcl recorder starts at 02/03/15 12:33:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:33:01 ########### ########## Tcl recorder starts at 02/03/15 12:34:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:34:08 ########### ########## Tcl recorder starts at 02/03/15 12:34:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:34:08 ########### ########## Tcl recorder starts at 02/03/15 12:35:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:35:07 ########### ########## Tcl recorder starts at 02/03/15 12:35:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:35:07 ########### ########## Tcl recorder starts at 02/03/15 12:36:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:36:15 ########### ########## Tcl recorder starts at 02/03/15 12:36:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:36:16 ########### ########## Tcl recorder starts at 02/03/15 12:38:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:38:38 ########### ########## Tcl recorder starts at 02/03/15 12:38:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:38:38 ########### ########## Tcl recorder starts at 02/03/15 12:40:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:40:16 ########### ########## Tcl recorder starts at 02/03/15 12:40:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:40:16 ########### ########## Tcl recorder starts at 02/03/15 12:41:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:41:40 ########### ########## Tcl recorder starts at 02/03/15 12:41:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:41:40 ########### ########## Tcl recorder starts at 02/03/15 12:43:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:43:28 ########### ########## Tcl recorder starts at 02/03/15 12:43:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:43:28 ########### ########## Tcl recorder starts at 02/03/15 12:46:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:46:30 ########### ########## Tcl recorder starts at 02/03/15 12:46:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:46:30 ########### ########## Tcl recorder starts at 02/03/15 12:47:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:47:41 ########### ########## Tcl recorder starts at 02/03/15 12:47:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:47:41 ########### ########## Tcl recorder starts at 02/03/15 12:48:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:48:09 ########### ########## Tcl recorder starts at 02/03/15 12:48:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:48:10 ########### ########## Tcl recorder starts at 02/03/15 12:49:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:49:15 ########### ########## Tcl recorder starts at 02/03/15 12:49:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:49:15 ########### ########## Tcl recorder starts at 02/03/15 12:50:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:50:25 ########### ########## Tcl recorder starts at 02/03/15 12:50:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:50:25 ########### ########## Tcl recorder starts at 02/03/15 12:52:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:52:00 ########### ########## Tcl recorder starts at 02/03/15 12:52:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:52:00 ########### ########## Tcl recorder starts at 02/03/15 12:57:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:57:09 ########### ########## Tcl recorder starts at 02/03/15 12:57:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:57:10 ########### ########## Tcl recorder starts at 02/03/15 12:58:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:58:00 ########### ########## Tcl recorder starts at 02/03/15 12:58:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:58:00 ########### ########## Tcl recorder starts at 02/03/15 12:58:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:58:53 ########### ########## Tcl recorder starts at 02/03/15 12:58:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 12:58:53 ########### ########## Tcl recorder starts at 02/03/15 19:38:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:38:41 ########### ########## Tcl recorder starts at 02/03/15 19:38:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:38:41 ########### ########## Tcl recorder starts at 02/03/15 19:40:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:40:17 ########### ########## Tcl recorder starts at 02/03/15 19:40:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:40:17 ########### ########## Tcl recorder starts at 02/03/15 19:43:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:43:28 ########### ########## Tcl recorder starts at 02/03/15 19:43:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:43:29 ########### ########## Tcl recorder starts at 02/03/15 19:45:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:45:55 ########### ########## Tcl recorder starts at 02/03/15 19:45:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:45:55 ########### ########## Tcl recorder starts at 02/03/15 19:48:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:48:34 ########### ########## Tcl recorder starts at 02/03/15 19:48:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:48:34 ########### ########## Tcl recorder starts at 02/03/15 19:50:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:50:17 ########### ########## Tcl recorder starts at 02/03/15 19:50:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:50:17 ########### ########## Tcl recorder starts at 02/03/15 19:51:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:51:06 ########### ########## Tcl recorder starts at 02/03/15 19:51:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 19:51:06 ########### ########## Tcl recorder starts at 02/03/15 20:20:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:20:51 ########### ########## Tcl recorder starts at 02/03/15 20:20:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:20:51 ########### ########## Tcl recorder starts at 02/03/15 20:22:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:22:15 ########### ########## Tcl recorder starts at 02/03/15 20:22:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:22:15 ########### ########## Tcl recorder starts at 02/03/15 20:24:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:24:13 ########### ########## Tcl recorder starts at 02/03/15 20:24:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:24:14 ########### ########## Tcl recorder starts at 02/03/15 20:26:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:26:09 ########### ########## Tcl recorder starts at 02/03/15 20:26:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:26:09 ########### ########## Tcl recorder starts at 02/03/15 20:27:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:27:43 ########### ########## Tcl recorder starts at 02/03/15 20:27:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/03/15 20:27:43 ########### ########## Tcl recorder starts at 02/12/15 21:08:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:08:38 ########### ########## Tcl recorder starts at 02/12/15 21:15:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:15:12 ########### ########## Tcl recorder starts at 02/12/15 21:15:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:15:12 ########### ########## Tcl recorder starts at 02/12/15 21:20:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:20:32 ########### ########## Tcl recorder starts at 02/12/15 21:20:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:20:32 ########### ########## Tcl recorder starts at 02/12/15 21:21:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:21:32 ########### ########## Tcl recorder starts at 02/12/15 21:21:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:21:32 ########### ########## Tcl recorder starts at 02/12/15 21:23:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:23:04 ########### ########## Tcl recorder starts at 02/12/15 21:23:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:23:05 ########### ########## Tcl recorder starts at 02/12/15 21:25:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:25:31 ########### ########## Tcl recorder starts at 02/12/15 21:25:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:25:31 ########### ########## Tcl recorder starts at 02/12/15 21:29:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:29:15 ########### ########## Tcl recorder starts at 02/12/15 21:29:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:29:16 ########### ########## Tcl recorder starts at 02/12/15 21:29:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:29:44 ########### ########## Tcl recorder starts at 02/12/15 21:29:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:29:45 ########### ########## Tcl recorder starts at 02/12/15 21:30:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:30:48 ########### ########## Tcl recorder starts at 02/12/15 21:30:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:30:48 ########### ########## Tcl recorder starts at 02/12/15 21:32:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:32:52 ########### ########## Tcl recorder starts at 02/12/15 21:32:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:32:52 ########### ########## Tcl recorder starts at 02/12/15 21:33:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:33:48 ########### ########## Tcl recorder starts at 02/12/15 21:33:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:33:48 ########### ########## Tcl recorder starts at 02/12/15 21:34:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:34:44 ########### ########## Tcl recorder starts at 02/12/15 21:34:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:34:44 ########### ########## Tcl recorder starts at 02/12/15 21:36:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:36:19 ########### ########## Tcl recorder starts at 02/12/15 21:36:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:36:19 ########### ########## Tcl recorder starts at 02/12/15 21:39:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:39:17 ########### ########## Tcl recorder starts at 02/12/15 21:39:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:39:17 ########### ########## Tcl recorder starts at 02/12/15 21:40:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:40:39 ########### ########## Tcl recorder starts at 02/12/15 21:40:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:40:39 ########### ########## Tcl recorder starts at 02/12/15 21:42:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:42:16 ########### ########## Tcl recorder starts at 02/12/15 21:42:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:42:16 ########### ########## Tcl recorder starts at 02/12/15 21:43:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:43:15 ########### ########## Tcl recorder starts at 02/12/15 21:43:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:43:15 ########### ########## Tcl recorder starts at 02/12/15 21:44:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:44:26 ########### ########## Tcl recorder starts at 02/12/15 21:44:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:44:27 ########### ########## Tcl recorder starts at 02/12/15 21:45:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:45:13 ########### ########## Tcl recorder starts at 02/12/15 21:45:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:45:14 ########### ########## Tcl recorder starts at 02/12/15 21:45:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:45:49 ########### ########## Tcl recorder starts at 02/12/15 21:45:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:45:49 ########### ########## Tcl recorder starts at 02/12/15 21:46:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:46:41 ########### ########## Tcl recorder starts at 02/12/15 21:46:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:46:41 ########### ########## Tcl recorder starts at 02/12/15 21:47:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:47:54 ########### ########## Tcl recorder starts at 02/12/15 21:47:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:47:54 ########### ########## Tcl recorder starts at 02/12/15 21:48:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:48:32 ########### ########## Tcl recorder starts at 02/12/15 21:48:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:48:32 ########### ########## Tcl recorder starts at 02/12/15 21:49:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:49:16 ########### ########## Tcl recorder starts at 02/12/15 21:49:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:49:16 ########### ########## Tcl recorder starts at 02/12/15 21:50:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:50:26 ########### ########## Tcl recorder starts at 02/12/15 21:50:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:50:26 ########### ########## Tcl recorder starts at 02/12/15 21:51:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:51:11 ########### ########## Tcl recorder starts at 02/12/15 21:51:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:51:12 ########### ########## Tcl recorder starts at 02/12/15 21:53:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:53:27 ########### ########## Tcl recorder starts at 02/12/15 21:53:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:53:27 ########### ########## Tcl recorder starts at 02/12/15 21:56:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:56:01 ########### ########## Tcl recorder starts at 02/12/15 21:56:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:56:01 ########### ########## Tcl recorder starts at 02/12/15 21:56:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:56:52 ########### ########## Tcl recorder starts at 02/12/15 21:56:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:56:52 ########### ########## Tcl recorder starts at 02/12/15 21:58:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:58:01 ########### ########## Tcl recorder starts at 02/12/15 21:58:01 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:58:01 ########### ########## Tcl recorder starts at 02/12/15 21:59:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:59:29 ########### ########## Tcl recorder starts at 02/12/15 21:59:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 21:59:29 ########### ########## Tcl recorder starts at 02/12/15 22:00:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:00:49 ########### ########## Tcl recorder starts at 02/12/15 22:00:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:00:50 ########### ########## Tcl recorder starts at 02/12/15 22:03:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:03:00 ########### ########## Tcl recorder starts at 02/12/15 22:03:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:03:00 ########### ########## Tcl recorder starts at 02/12/15 22:03:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:03:41 ########### ########## Tcl recorder starts at 02/12/15 22:03:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:03:41 ########### ########## Tcl recorder starts at 02/12/15 22:04:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:04:14 ########### ########## Tcl recorder starts at 02/12/15 22:04:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:04:14 ########### ########## Tcl recorder starts at 02/12/15 22:04:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:04:45 ########### ########## Tcl recorder starts at 02/12/15 22:04:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:04:45 ########### ########## Tcl recorder starts at 02/12/15 22:05:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:05:56 ########### ########## Tcl recorder starts at 02/12/15 22:05:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:05:56 ########### ########## Tcl recorder starts at 02/12/15 22:06:11 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:06:11 ########### ########## Tcl recorder starts at 02/12/15 22:06:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:06:19 ########### ########## Tcl recorder starts at 02/12/15 22:06:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:06:20 ########### ########## Tcl recorder starts at 02/12/15 22:07:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:07:44 ########### ########## Tcl recorder starts at 02/12/15 22:07:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:07:44 ########### ########## Tcl recorder starts at 02/12/15 22:07:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:07:58 ########### ########## Tcl recorder starts at 02/12/15 22:07:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:07:58 ########### ########## Tcl recorder starts at 02/12/15 22:10:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:10:47 ########### ########## Tcl recorder starts at 02/12/15 22:10:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:10:47 ########### ########## Tcl recorder starts at 02/12/15 22:11:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:16 ########### ########## Tcl recorder starts at 02/12/15 22:11:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:16 ########### ########## Tcl recorder starts at 02/12/15 22:11:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:28 ########### ########## Tcl recorder starts at 02/12/15 22:11:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:28 ########### ########## Tcl recorder starts at 02/12/15 22:11:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:58 ########### ########## Tcl recorder starts at 02/12/15 22:11:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:11:58 ########### ########## Tcl recorder starts at 02/12/15 22:12:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:12:30 ########### ########## Tcl recorder starts at 02/12/15 22:12:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:12:30 ########### ########## Tcl recorder starts at 02/12/15 22:13:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:13:10 ########### ########## Tcl recorder starts at 02/12/15 22:13:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/12/15 22:13:10 ########### ########## Tcl recorder starts at 02/13/15 12:31:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:31:53 ########### ########## Tcl recorder starts at 02/13/15 12:31:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:31:53 ########### ########## Tcl recorder starts at 02/13/15 12:33:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:33:02 ########### ########## Tcl recorder starts at 02/13/15 12:33:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:33:02 ########### ########## Tcl recorder starts at 02/13/15 12:34:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:34:37 ########### ########## Tcl recorder starts at 02/13/15 12:34:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:34:38 ########### ########## Tcl recorder starts at 02/13/15 12:35:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:35:00 ########### ########## Tcl recorder starts at 02/13/15 12:35:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:35:00 ########### ########## Tcl recorder starts at 02/13/15 12:41:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:41:43 ########### ########## Tcl recorder starts at 02/13/15 12:41:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:41:43 ########### ########## Tcl recorder starts at 02/13/15 12:42:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:42:46 ########### ########## Tcl recorder starts at 02/13/15 12:42:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:42:46 ########### ########## Tcl recorder starts at 02/13/15 12:44:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:44:01 ########### ########## Tcl recorder starts at 02/13/15 12:44:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:44:01 ########### ########## Tcl recorder starts at 02/13/15 12:45:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:45:14 ########### ########## Tcl recorder starts at 02/13/15 12:45:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:45:14 ########### ########## Tcl recorder starts at 02/13/15 12:47:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:47:47 ########### ########## Tcl recorder starts at 02/13/15 12:47:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:47:47 ########### ########## Tcl recorder starts at 02/13/15 12:49:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:49:55 ########### ########## Tcl recorder starts at 02/13/15 12:49:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:49:56 ########### ########## Tcl recorder starts at 02/13/15 12:51:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:51:16 ########### ########## Tcl recorder starts at 02/13/15 12:51:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:51:16 ########### ########## Tcl recorder starts at 02/13/15 12:51:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:51:58 ########### ########## Tcl recorder starts at 02/13/15 12:51:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:51:58 ########### ########## Tcl recorder starts at 02/13/15 12:52:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:52:41 ########### ########## Tcl recorder starts at 02/13/15 12:52:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:52:41 ########### ########## Tcl recorder starts at 02/13/15 12:53:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:53:28 ########### ########## Tcl recorder starts at 02/13/15 12:53:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:53:28 ########### ########## Tcl recorder starts at 02/13/15 12:55:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:55:35 ########### ########## Tcl recorder starts at 02/13/15 12:55:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:55:36 ########### ########## Tcl recorder starts at 02/13/15 12:57:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:57:01 ########### ########## Tcl recorder starts at 02/13/15 12:57:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:57:01 ########### ########## Tcl recorder starts at 02/13/15 12:57:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:57:17 ########### ########## Tcl recorder starts at 02/13/15 12:57:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:57:17 ########### ########## Tcl recorder starts at 02/13/15 12:58:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:58:18 ########### ########## Tcl recorder starts at 02/13/15 12:58:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:58:19 ########### ########## Tcl recorder starts at 02/13/15 12:59:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:59:14 ########### ########## Tcl recorder starts at 02/13/15 12:59:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:59:15 ########### ########## Tcl recorder starts at 02/13/15 12:59:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:59:31 ########### ########## Tcl recorder starts at 02/13/15 12:59:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 12:59:31 ########### ########## Tcl recorder starts at 02/13/15 13:00:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:00:24 ########### ########## Tcl recorder starts at 02/13/15 13:00:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:00:24 ########### ########## Tcl recorder starts at 02/13/15 13:00:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:00:55 ########### ########## Tcl recorder starts at 02/13/15 13:00:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:00:55 ########### ########## Tcl recorder starts at 02/13/15 13:01:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:01:45 ########### ########## Tcl recorder starts at 02/13/15 13:01:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:01:45 ########### ########## Tcl recorder starts at 02/13/15 13:02:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:02:25 ########### ########## Tcl recorder starts at 02/13/15 13:02:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:02:25 ########### ########## Tcl recorder starts at 02/13/15 13:03:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:03:45 ########### ########## Tcl recorder starts at 02/13/15 13:03:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:03:45 ########### ########## Tcl recorder starts at 02/13/15 13:04:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:04:51 ########### ########## Tcl recorder starts at 02/13/15 13:04:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:04:51 ########### ########## Tcl recorder starts at 02/13/15 13:08:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:08:18 ########### ########## Tcl recorder starts at 02/13/15 13:08:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:08:18 ########### ########## Tcl recorder starts at 02/13/15 13:09:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:09:23 ########### ########## Tcl recorder starts at 02/13/15 13:09:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:09:23 ########### ########## Tcl recorder starts at 02/13/15 13:09:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:09:51 ########### ########## Tcl recorder starts at 02/13/15 13:09:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:09:51 ########### ########## Tcl recorder starts at 02/13/15 13:10:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:10:49 ########### ########## Tcl recorder starts at 02/13/15 13:10:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:10:49 ########### ########## Tcl recorder starts at 02/13/15 13:11:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:11:04 ########### ########## Tcl recorder starts at 02/13/15 13:11:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:11:04 ########### ########## Tcl recorder starts at 02/13/15 13:11:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:11:32 ########### ########## Tcl recorder starts at 02/13/15 13:11:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:11:32 ########### ########## Tcl recorder starts at 02/13/15 13:12:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:12:18 ########### ########## Tcl recorder starts at 02/13/15 13:12:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:12:18 ########### ########## Tcl recorder starts at 02/13/15 13:13:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:13:28 ########### ########## Tcl recorder starts at 02/13/15 13:13:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:13:28 ########### ########## Tcl recorder starts at 02/13/15 13:18:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:18:16 ########### ########## Tcl recorder starts at 02/13/15 13:18:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:18:16 ########### ########## Tcl recorder starts at 02/13/15 13:22:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:22:12 ########### ########## Tcl recorder starts at 02/13/15 13:22:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:22:12 ########### ########## Tcl recorder starts at 02/13/15 13:29:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:29:06 ########### ########## Tcl recorder starts at 02/13/15 13:29:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:29:06 ########### ########## Tcl recorder starts at 02/13/15 13:30:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:30:03 ########### ########## Tcl recorder starts at 02/13/15 13:30:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:30:03 ########### ########## Tcl recorder starts at 02/13/15 13:33:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:33:13 ########### ########## Tcl recorder starts at 02/13/15 13:33:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:33:13 ########### ########## Tcl recorder starts at 02/13/15 13:40:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:40:41 ########### ########## Tcl recorder starts at 02/13/15 13:40:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:40:41 ########### ########## Tcl recorder starts at 02/13/15 13:47:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:47:03 ########### ########## Tcl recorder starts at 02/13/15 13:47:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:47:03 ########### ########## Tcl recorder starts at 02/13/15 13:49:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:49:36 ########### ########## Tcl recorder starts at 02/13/15 13:49:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:49:36 ########### ########## Tcl recorder starts at 02/13/15 13:51:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:51:12 ########### ########## Tcl recorder starts at 02/13/15 13:51:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:51:12 ########### ########## Tcl recorder starts at 02/13/15 13:51:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:51:46 ########### ########## Tcl recorder starts at 02/13/15 13:51:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:51:46 ########### ########## Tcl recorder starts at 02/13/15 13:52:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:52:57 ########### ########## Tcl recorder starts at 02/13/15 13:52:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:52:57 ########### ########## Tcl recorder starts at 02/13/15 13:54:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:54:22 ########### ########## Tcl recorder starts at 02/13/15 13:54:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:54:22 ########### ########## Tcl recorder starts at 02/13/15 13:55:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:55:56 ########### ########## Tcl recorder starts at 02/13/15 13:55:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:55:56 ########### ########## Tcl recorder starts at 02/13/15 13:57:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:57:04 ########### ########## Tcl recorder starts at 02/13/15 13:57:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:57:04 ########### ########## Tcl recorder starts at 02/13/15 13:59:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:59:47 ########### ########## Tcl recorder starts at 02/13/15 13:59:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 13:59:47 ########### ########## Tcl recorder starts at 02/13/15 14:00:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:00:56 ########### ########## Tcl recorder starts at 02/13/15 14:00:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:00:57 ########### ########## Tcl recorder starts at 02/13/15 14:01:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:01:57 ########### ########## Tcl recorder starts at 02/13/15 14:01:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:01:58 ########### ########## Tcl recorder starts at 02/13/15 14:04:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:04:34 ########### ########## Tcl recorder starts at 02/13/15 14:04:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:04:34 ########### ########## Tcl recorder starts at 02/13/15 14:04:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:04:50 ########### ########## Tcl recorder starts at 02/13/15 14:04:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:04:51 ########### ########## Tcl recorder starts at 02/13/15 14:05:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:05:36 ########### ########## Tcl recorder starts at 02/13/15 14:05:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:05:37 ########### ########## Tcl recorder starts at 02/13/15 14:08:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:08:06 ########### ########## Tcl recorder starts at 02/13/15 14:08:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:08:06 ########### ########## Tcl recorder starts at 02/13/15 14:09:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:09:40 ########### ########## Tcl recorder starts at 02/13/15 14:09:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:09:40 ########### ########## Tcl recorder starts at 02/13/15 14:09:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:09:58 ########### ########## Tcl recorder starts at 02/13/15 14:09:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:09:58 ########### ########## Tcl recorder starts at 02/13/15 14:12:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:12:43 ########### ########## Tcl recorder starts at 02/13/15 14:12:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:12:43 ########### ########## Tcl recorder starts at 02/13/15 14:20:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:20:26 ########### ########## Tcl recorder starts at 02/13/15 14:20:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:20:26 ########### ########## Tcl recorder starts at 02/13/15 14:20:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:20:42 ########### ########## Tcl recorder starts at 02/13/15 14:20:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:20:42 ########### ########## Tcl recorder starts at 02/13/15 14:27:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:27:00 ########### ########## Tcl recorder starts at 02/13/15 14:27:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:27:01 ########### ########## Tcl recorder starts at 02/13/15 14:28:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:28:46 ########### ########## Tcl recorder starts at 02/13/15 14:28:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:28:46 ########### ########## Tcl recorder starts at 02/13/15 14:29:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:29:57 ########### ########## Tcl recorder starts at 02/13/15 14:29:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:29:57 ########### ########## Tcl recorder starts at 02/13/15 14:38:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:38:51 ########### ########## Tcl recorder starts at 02/13/15 14:38:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:38:52 ########### ########## Tcl recorder starts at 02/13/15 14:39:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:39:09 ########### ########## Tcl recorder starts at 02/13/15 14:39:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:39:09 ########### ########## Tcl recorder starts at 02/13/15 14:51:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:51:59 ########### ########## Tcl recorder starts at 02/13/15 14:51:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/13/15 14:51:59 ########### ########## Tcl recorder starts at 02/17/15 20:28:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:28:33 ########### ########## Tcl recorder starts at 02/17/15 20:28:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:28:33 ########### ########## Tcl recorder starts at 02/17/15 20:33:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:33:28 ########### ########## Tcl recorder starts at 02/17/15 20:33:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:33:28 ########### ########## Tcl recorder starts at 02/17/15 20:37:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:37:03 ########### ########## Tcl recorder starts at 02/17/15 20:37:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:37:04 ########### ########## Tcl recorder starts at 02/17/15 20:38:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:38:52 ########### ########## Tcl recorder starts at 02/17/15 20:38:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/17/15 20:38:53 ########### ########## Tcl recorder starts at 02/19/15 14:38:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/19/15 14:38:38 ########### ########## Tcl recorder starts at 02/19/15 14:38:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/19/15 14:38:38 ########### ########## Tcl recorder starts at 02/22/15 14:31:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/22/15 14:31:38 ########### ########## Tcl recorder starts at 02/22/15 14:31:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/22/15 14:31:38 ########### ########## Tcl recorder starts at 02/22/15 14:32:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/22/15 14:32:36 ########### ########## Tcl recorder starts at 02/22/15 14:32:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/22/15 14:32:36 ########### ########## Tcl recorder starts at 02/27/15 13:15:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:15:54 ########### ########## Tcl recorder starts at 02/27/15 13:15:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:15:54 ########### ########## Tcl recorder starts at 02/27/15 13:36:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:36:44 ########### ########## Tcl recorder starts at 02/27/15 13:36:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:36:45 ########### ########## Tcl recorder starts at 02/27/15 13:39:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:39:28 ########### ########## Tcl recorder starts at 02/27/15 13:39:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:39:28 ########### ########## Tcl recorder starts at 02/27/15 13:44:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:44:52 ########### ########## Tcl recorder starts at 02/27/15 13:44:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:44:52 ########### ########## Tcl recorder starts at 02/27/15 13:45:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:45:09 ########### ########## Tcl recorder starts at 02/27/15 13:45:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:45:09 ########### ########## Tcl recorder starts at 02/27/15 13:47:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:47:55 ########### ########## Tcl recorder starts at 02/27/15 13:47:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:47:56 ########### ########## Tcl recorder starts at 02/27/15 13:57:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:57:28 ########### ########## Tcl recorder starts at 02/27/15 13:57:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:57:28 ########### ########## Tcl recorder starts at 02/27/15 13:59:12 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:59:12 ########### ########## Tcl recorder starts at 02/27/15 13:59:28 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 13:59:28 ########### ########## Tcl recorder starts at 02/27/15 14:00:21 ########## # Commands to make the Process: # Constraint Editor if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:00:21 ########### ########## Tcl recorder starts at 02/27/15 14:00:56 ########## # Commands to make the Process: # Post-Fit Pinouts if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:00:56 ########### ########## Tcl recorder starts at 02/27/15 14:01:48 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:01:48 ########### ########## Tcl recorder starts at 02/27/15 14:03:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:03:54 ########### ########## Tcl recorder starts at 02/27/15 14:03:54 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:03:54 ########### ########## Tcl recorder starts at 02/27/15 14:04:20 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:04:20 ########### ########## Tcl recorder starts at 02/27/15 14:18:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:18:57 ########### ########## Tcl recorder starts at 02/27/15 14:18:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/27/15 14:18:58 ########### ########## Tcl recorder starts at 02/28/15 10:22:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/28/15 10:22:14 ########### ########## Tcl recorder starts at 02/28/15 10:22:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/28/15 10:22:15 ########### ########## Tcl recorder starts at 02/28/15 10:25:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/28/15 10:25:58 ########### ########## Tcl recorder starts at 02/28/15 10:25:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/28/15 10:25:58 ########### ########## Tcl recorder starts at 03/01/15 21:04:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/01/15 21:04:08 ########### ########## Tcl recorder starts at 03/01/15 21:04:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/01/15 21:04:09 ########### ########## Tcl recorder starts at 03/01/15 21:06:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/01/15 21:06:18 ########### ########## Tcl recorder starts at 03/01/15 21:06:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/01/15 21:06:19 ########### ########## Tcl recorder starts at 03/15/15 13:42:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 13:42:22 ########### ########## Tcl recorder starts at 03/15/15 13:42:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 13:42:23 ########### ########## Tcl recorder starts at 03/15/15 13:42:49 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 13:42:49 ########### ########## Tcl recorder starts at 03/15/15 13:42:59 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 13:42:59 ########### ########## Tcl recorder starts at 03/15/15 18:32:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:32:14 ########### ########## Tcl recorder starts at 03/15/15 18:32:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:32:14 ########### ########## Tcl recorder starts at 03/15/15 18:36:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:36:17 ########### ########## Tcl recorder starts at 03/15/15 18:36:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:36:18 ########### ########## Tcl recorder starts at 03/15/15 18:39:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:39:02 ########### ########## Tcl recorder starts at 03/15/15 18:39:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:39:02 ########### ########## Tcl recorder starts at 03/15/15 18:41:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:41:45 ########### ########## Tcl recorder starts at 03/15/15 18:41:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:41:45 ########### ########## Tcl recorder starts at 03/15/15 18:43:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:43:25 ########### ########## Tcl recorder starts at 03/15/15 18:43:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 18:43:26 ########### ########## Tcl recorder starts at 03/15/15 19:56:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 19:56:31 ########### ########## Tcl recorder starts at 03/15/15 19:56:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/15/15 19:56:31 ########### ########## Tcl recorder starts at 03/16/15 21:31:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:31:34 ########### ########## Tcl recorder starts at 03/16/15 21:31:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:31:34 ########### ########## Tcl recorder starts at 03/16/15 21:32:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:32:09 ########### ########## Tcl recorder starts at 03/16/15 21:32:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:32:10 ########### ########## Tcl recorder starts at 03/16/15 21:35:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:35:44 ########### ########## Tcl recorder starts at 03/16/15 21:35:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:35:44 ########### ########## Tcl recorder starts at 03/16/15 21:41:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:41:08 ########### ########## Tcl recorder starts at 03/16/15 21:41:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:41:09 ########### ########## Tcl recorder starts at 03/16/15 21:41:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:41:42 ########### ########## Tcl recorder starts at 03/16/15 21:41:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:41:42 ########### ########## Tcl recorder starts at 03/16/15 21:42:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:42:34 ########### ########## Tcl recorder starts at 03/16/15 21:42:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:42:34 ########### ########## Tcl recorder starts at 03/16/15 21:43:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:43:19 ########### ########## Tcl recorder starts at 03/16/15 21:43:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:43:39 ########### ########## Tcl recorder starts at 03/16/15 21:44:09 ########## # Commands to make the Process: # Optimization Constraint if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:44:09 ########### ########## Tcl recorder starts at 03/16/15 21:44:27 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:44:27 ########### ########## Tcl recorder starts at 03/16/15 21:47:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:47:25 ########### ########## Tcl recorder starts at 03/16/15 21:47:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:47:25 ########### ########## Tcl recorder starts at 03/16/15 21:48:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:48:09 ########### ########## Tcl recorder starts at 03/16/15 21:48:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:48:09 ########### ########## Tcl recorder starts at 03/16/15 21:48:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:48:38 ########### ########## Tcl recorder starts at 03/16/15 21:48:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:48:38 ########### ########## Tcl recorder starts at 03/16/15 21:49:33 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:49:33 ########### ########## Tcl recorder starts at 03/16/15 21:51:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:51:11 ########### ########## Tcl recorder starts at 03/16/15 21:51:46 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:51:46 ########### ########## Tcl recorder starts at 03/16/15 21:52:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:52:43 ########### ########## Tcl recorder starts at 03/16/15 21:52:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:52:44 ########### ########## Tcl recorder starts at 03/16/15 21:53:15 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:53:15 ########### ########## Tcl recorder starts at 03/16/15 21:53:57 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:53:57 ########### ########## Tcl recorder starts at 03/16/15 21:56:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:56:41 ########### ########## Tcl recorder starts at 03/16/15 21:56:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 21:56:42 ########### ########## Tcl recorder starts at 03/16/15 22:00:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:00:27 ########### ########## Tcl recorder starts at 03/16/15 22:00:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:00:27 ########### ########## Tcl recorder starts at 03/16/15 22:01:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:01:02 ########### ########## Tcl recorder starts at 03/16/15 22:01:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:01:02 ########### ########## Tcl recorder starts at 03/16/15 22:02:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:02:37 ########### ########## Tcl recorder starts at 03/16/15 22:02:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/16/15 22:02:37 ########### ########## Tcl recorder starts at 03/20/15 21:56:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/20/15 21:56:58 ########### ########## Tcl recorder starts at 03/20/15 21:56:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/20/15 21:56:59 ########### ########## Tcl recorder starts at 03/21/15 23:56:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/21/15 23:56:02 ########### ########## Tcl recorder starts at 03/21/15 23:56:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/21/15 23:56:02 ########### ########## Tcl recorder starts at 03/21/15 23:57:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/21/15 23:57:29 ########### ########## Tcl recorder starts at 03/21/15 23:57:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/21/15 23:57:29 ########### ########## Tcl recorder starts at 03/23/15 20:51:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/23/15 20:51:10 ########### ########## Tcl recorder starts at 03/23/15 20:51:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/23/15 20:51:10 ########### ########## Tcl recorder starts at 03/23/15 21:54:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/23/15 21:54:55 ########### ########## Tcl recorder starts at 03/23/15 21:54:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/23/15 21:54:56 ########### ########## Tcl recorder starts at 03/28/15 22:02:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/28/15 22:02:31 ########### ########## Tcl recorder starts at 03/28/15 22:02:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 03/28/15 22:02:32 ########### ########## Tcl recorder starts at 04/08/15 17:22:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 17:22:08 ########### ########## Tcl recorder starts at 04/08/15 17:22:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 17:22:08 ########### ########## Tcl recorder starts at 04/08/15 20:17:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 20:17:33 ########### ########## Tcl recorder starts at 04/08/15 20:17:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 20:17:33 ########### ########## Tcl recorder starts at 04/08/15 20:28:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 20:28:50 ########### ########## Tcl recorder starts at 04/08/15 20:28:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 04/08/15 20:28:50 ########### ########## Tcl recorder starts at 05/13/15 22:45:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:45:25 ########### ########## Tcl recorder starts at 05/13/15 22:45:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:45:26 ########### ########## Tcl recorder starts at 05/13/15 22:46:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:46:04 ########### ########## Tcl recorder starts at 05/13/15 22:46:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:46:05 ########### ########## Tcl recorder starts at 05/13/15 22:46:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:46:37 ########### ########## Tcl recorder starts at 05/13/15 22:46:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:46:37 ########### ########## Tcl recorder starts at 05/13/15 22:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:59:07 ########### ########## Tcl recorder starts at 05/13/15 22:59:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 05/13/15 22:59:07 ########### ########## Tcl recorder starts at 07/08/15 19:00:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:00:59 ########### ########## Tcl recorder starts at 07/08/15 19:00:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:00:59 ########### ########## Tcl recorder starts at 07/08/15 19:01:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:01:23 ########### ########## Tcl recorder starts at 07/08/15 19:01:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:01:24 ########### ########## Tcl recorder starts at 07/08/15 19:01:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:01:47 ########### ########## Tcl recorder starts at 07/08/15 19:01:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:01:47 ########### ########## Tcl recorder starts at 07/08/15 19:02:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:02:47 ########### ########## Tcl recorder starts at 07/08/15 19:02:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:02:48 ########### ########## Tcl recorder starts at 07/08/15 19:11:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:11:05 ########### ########## Tcl recorder starts at 07/08/15 19:11:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:11:05 ########### ########## Tcl recorder starts at 07/08/15 19:14:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:14:12 ########### ########## Tcl recorder starts at 07/08/15 19:14:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:14:12 ########### ########## Tcl recorder starts at 07/08/15 19:18:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:18:00 ########### ########## Tcl recorder starts at 07/08/15 19:18:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:18:00 ########### ########## Tcl recorder starts at 07/08/15 19:19:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:19:27 ########### ########## Tcl recorder starts at 07/08/15 19:19:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:19:27 ########### ########## Tcl recorder starts at 07/08/15 19:27:39 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:27:39 ########### ########## Tcl recorder starts at 07/08/15 19:30:36 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:30:36 ########### ########## Tcl recorder starts at 07/08/15 19:30:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:30:46 ########### ########## Tcl recorder starts at 07/08/15 19:33:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:33:36 ########### ########## Tcl recorder starts at 07/08/15 19:34:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/08/15 19:34:16 ########### ########## Tcl recorder starts at 07/09/15 18:46:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/09/15 18:46:25 ########### ########## Tcl recorder starts at 07/09/15 18:46:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/09/15 18:46:25 ########### ########## Tcl recorder starts at 07/09/15 18:48:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/09/15 18:48:44 ########### ########## Tcl recorder starts at 07/09/15 18:48:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 07/09/15 18:48:45 ########### ########## Tcl recorder starts at 09/24/15 15:46:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 15:46:43 ########### ########## Tcl recorder starts at 09/24/15 15:46:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 15:46:43 ########### ########## Tcl recorder starts at 09/24/15 16:04:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 16:04:18 ########### ########## Tcl recorder starts at 09/24/15 16:04:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 16:04:18 ########### ########## Tcl recorder starts at 09/24/15 16:16:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 16:16:41 ########### ########## Tcl recorder starts at 09/24/15 16:20:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 16:20:44 ########### ########## Tcl recorder starts at 09/24/15 16:20:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/24/15 16:20:44 ########### ########## Tcl recorder starts at 10/10/15 21:31:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:31:39 ########### ########## Tcl recorder starts at 10/10/15 21:31:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:31:39 ########### ########## Tcl recorder starts at 10/10/15 21:44:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:44:41 ########### ########## Tcl recorder starts at 10/10/15 21:44:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:44:42 ########### ########## Tcl recorder starts at 10/10/15 21:47:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:47:14 ########### ########## Tcl recorder starts at 10/10/15 21:47:14 ########## # Commands to make the Process: # ISC-1532 File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2i "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:47:14 ########### ########## Tcl recorder starts at 10/10/15 21:55:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:55:48 ########### ########## Tcl recorder starts at 10/10/15 21:55:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:55:49 ########### ########## Tcl recorder starts at 10/10/15 21:56:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:56:38 ########### ########## Tcl recorder starts at 10/10/15 21:56:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:56:39 ########### ########## Tcl recorder starts at 10/10/15 21:59:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:59:34 ########### ########## Tcl recorder starts at 10/10/15 21:59:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/15 21:59:34 ########### ########## Tcl recorder starts at 01/23/16 13:53:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:53:30 ########### ########## Tcl recorder starts at 01/23/16 13:53:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:53:31 ########### ########## Tcl recorder starts at 01/23/16 13:59:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:59:12 ########### ########## Tcl recorder starts at 01/23/16 13:59:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:59:12 ########### ########## Tcl recorder starts at 01/23/16 13:59:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:59:51 ########### ########## Tcl recorder starts at 01/23/16 13:59:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 13:59:51 ########### ########## Tcl recorder starts at 01/23/16 14:00:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:00:34 ########### ########## Tcl recorder starts at 01/23/16 14:00:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:00:34 ########### ########## Tcl recorder starts at 01/23/16 14:01:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:01:12 ########### ########## Tcl recorder starts at 01/23/16 14:01:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:01:12 ########### ########## Tcl recorder starts at 01/23/16 14:02:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:02:50 ########### ########## Tcl recorder starts at 01/23/16 14:02:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:02:50 ########### ########## Tcl recorder starts at 01/23/16 14:04:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:04:13 ########### ########## Tcl recorder starts at 01/23/16 14:04:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:04:13 ########### ########## Tcl recorder starts at 01/23/16 14:07:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:07:16 ########### ########## Tcl recorder starts at 01/23/16 14:07:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:07:16 ########### ########## Tcl recorder starts at 01/23/16 14:10:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:10:11 ########### ########## Tcl recorder starts at 01/23/16 14:10:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:10:11 ########### ########## Tcl recorder starts at 01/23/16 14:14:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:14:43 ########### ########## Tcl recorder starts at 01/23/16 14:14:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 14:14:43 ########### ########## Tcl recorder starts at 01/23/16 16:44:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 16:44:03 ########### ########## Tcl recorder starts at 01/23/16 16:44:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 16:44:04 ########### ########## Tcl recorder starts at 01/23/16 16:45:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 16:45:44 ########### ########## Tcl recorder starts at 01/23/16 16:45:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 16:45:44 ########### ########## Tcl recorder starts at 01/23/16 17:53:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 17:53:48 ########### ########## Tcl recorder starts at 01/23/16 17:53:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 17:53:48 ########### ########## Tcl recorder starts at 01/23/16 18:00:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:00:19 ########### ########## Tcl recorder starts at 01/23/16 18:00:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:00:19 ########### ########## Tcl recorder starts at 01/23/16 18:42:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:42:33 ########### ########## Tcl recorder starts at 01/23/16 18:42:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:42:33 ########### ########## Tcl recorder starts at 01/23/16 18:46:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:46:07 ########### ########## Tcl recorder starts at 01/23/16 18:46:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:46:07 ########### ########## Tcl recorder starts at 01/23/16 18:51:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:51:19 ########### ########## Tcl recorder starts at 01/23/16 18:51:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/23/16 18:51:19 ########### ########## Tcl recorder starts at 01/24/16 09:01:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:01:17 ########### ########## Tcl recorder starts at 01/24/16 09:01:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:01:18 ########### ########## Tcl recorder starts at 01/24/16 09:56:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:56:08 ########### ########## Tcl recorder starts at 01/24/16 09:56:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:56:08 ########### ########## Tcl recorder starts at 01/24/16 09:57:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:57:10 ########### ########## Tcl recorder starts at 01/24/16 09:57:11 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:57:11 ########### ########## Tcl recorder starts at 01/24/16 09:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:59:07 ########### ########## Tcl recorder starts at 01/24/16 09:59:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 09:59:08 ########### ########## Tcl recorder starts at 01/24/16 10:00:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:00:12 ########### ########## Tcl recorder starts at 01/24/16 10:00:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:00:13 ########### ########## Tcl recorder starts at 01/24/16 10:08:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:08:28 ########### ########## Tcl recorder starts at 01/24/16 10:08:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:08:28 ########### ########## Tcl recorder starts at 01/24/16 10:08:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:08:46 ########### ########## Tcl recorder starts at 01/24/16 10:08:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:08:46 ########### ########## Tcl recorder starts at 01/24/16 10:10:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:10:20 ########### ########## Tcl recorder starts at 01/24/16 10:10:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:10:20 ########### ########## Tcl recorder starts at 01/24/16 10:11:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:11:05 ########### ########## Tcl recorder starts at 01/24/16 10:11:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:11:05 ########### ########## Tcl recorder starts at 01/24/16 10:12:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:12:33 ########### ########## Tcl recorder starts at 01/24/16 10:12:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:12:33 ########### ########## Tcl recorder starts at 01/24/16 10:13:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:13:37 ########### ########## Tcl recorder starts at 01/24/16 10:13:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:13:38 ########### ########## Tcl recorder starts at 01/24/16 10:15:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:15:09 ########### ########## Tcl recorder starts at 01/24/16 10:15:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:15:09 ########### ########## Tcl recorder starts at 01/24/16 10:16:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:16:18 ########### ########## Tcl recorder starts at 01/24/16 10:16:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:16:18 ########### ########## Tcl recorder starts at 01/24/16 10:17:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:17:36 ########### ########## Tcl recorder starts at 01/24/16 10:17:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:17:37 ########### ########## Tcl recorder starts at 01/24/16 10:18:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:18:43 ########### ########## Tcl recorder starts at 01/24/16 10:18:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:18:43 ########### ########## Tcl recorder starts at 01/24/16 10:19:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:19:22 ########### ########## Tcl recorder starts at 01/24/16 10:19:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:19:23 ########### ########## Tcl recorder starts at 01/24/16 10:21:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:21:40 ########### ########## Tcl recorder starts at 01/24/16 10:21:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:21:40 ########### ########## Tcl recorder starts at 01/24/16 10:22:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:22:49 ########### ########## Tcl recorder starts at 01/24/16 10:22:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:22:49 ########### ########## Tcl recorder starts at 01/24/16 10:41:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:41:53 ########### ########## Tcl recorder starts at 01/24/16 10:41:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:41:53 ########### ########## Tcl recorder starts at 01/24/16 10:44:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:44:02 ########### ########## Tcl recorder starts at 01/24/16 10:44:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 10:44:02 ########### ########## Tcl recorder starts at 01/24/16 16:20:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 16:20:39 ########### ########## Tcl recorder starts at 01/24/16 16:20:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/24/16 16:20:40 ########### ########## Tcl recorder starts at 01/25/16 07:24:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/25/16 07:24:04 ########### ########## Tcl recorder starts at 01/25/16 07:24:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/25/16 07:24:04 ########### ########## Tcl recorder starts at 01/27/16 21:48:06 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/27/16 21:48:06 ########### ########## Tcl recorder starts at 01/27/16 21:48:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/27/16 21:48:29 ########### ########## Tcl recorder starts at 01/27/16 21:48:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/27/16 21:48:29 ########### ########## Tcl recorder starts at 01/27/16 21:56:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/27/16 21:56:36 ########### ########## Tcl recorder starts at 01/27/16 21:56:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/27/16 21:56:36 ########### ########## Tcl recorder starts at 08/17/16 16:31:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 16:31:07 ########### ########## Tcl recorder starts at 08/17/16 16:31:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 16:31:10 ########### ########## Tcl recorder starts at 08/17/16 17:00:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:00:46 ########### ########## Tcl recorder starts at 08/17/16 17:00:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:00:51 ########### ########## Tcl recorder starts at 08/17/16 17:03:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:03:06 ########### ########## Tcl recorder starts at 08/17/16 17:03:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:03:09 ########### ########## Tcl recorder starts at 08/17/16 17:04:22 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:04:22 ########### ########## Tcl recorder starts at 08/17/16 17:05:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:05:21 ########### ########## Tcl recorder starts at 08/17/16 17:05:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:05:34 ########### ########## Tcl recorder starts at 08/17/16 17:06:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:06:12 ########### ########## Tcl recorder starts at 08/17/16 17:06:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:06:15 ########### ########## Tcl recorder starts at 08/17/16 17:10:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:10:03 ########### ########## Tcl recorder starts at 08/17/16 17:11:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:11:11 ########### ########## Tcl recorder starts at 08/17/16 17:11:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:11:16 ########### ########## Tcl recorder starts at 08/17/16 17:16:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:16:18 ########### ########## Tcl recorder starts at 08/17/16 17:16:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:16:23 ########### ########## Tcl recorder starts at 08/17/16 17:17:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:17:27 ########### ########## Tcl recorder starts at 08/17/16 17:17:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:17:48 ########### ########## Tcl recorder starts at 08/17/16 17:18:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:18:58 ########### ########## Tcl recorder starts at 08/17/16 17:19:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:19:03 ########### ########## Tcl recorder starts at 08/17/16 17:20:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:20:44 ########### ########## Tcl recorder starts at 08/17/16 17:20:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:20:50 ########### ########## Tcl recorder starts at 08/17/16 17:21:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:21:52 ########### ########## Tcl recorder starts at 08/17/16 17:21:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:21:53 ########### ########## Tcl recorder starts at 08/17/16 17:36:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:36:05 ########### ########## Tcl recorder starts at 08/17/16 17:36:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:36:07 ########### ########## Tcl recorder starts at 08/17/16 17:36:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:36:29 ########### ########## Tcl recorder starts at 08/17/16 17:36:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:36:30 ########### ########## Tcl recorder starts at 08/17/16 17:36:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:36:59 ########### ########## Tcl recorder starts at 08/17/16 17:37:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:37:02 ########### ########## Tcl recorder starts at 08/17/16 17:40:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:40:08 ########### ########## Tcl recorder starts at 08/17/16 17:40:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:40:09 ########### ########## Tcl recorder starts at 08/17/16 17:41:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:41:11 ########### ########## Tcl recorder starts at 08/17/16 17:41:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:41:15 ########### ########## Tcl recorder starts at 08/17/16 17:42:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:42:36 ########### ########## Tcl recorder starts at 08/17/16 17:42:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:42:42 ########### ########## Tcl recorder starts at 08/17/16 17:44:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:44:01 ########### ########## Tcl recorder starts at 08/17/16 17:44:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:44:07 ########### ########## Tcl recorder starts at 08/17/16 17:45:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:45:29 ########### ########## Tcl recorder starts at 08/17/16 17:45:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/17/16 17:45:34 ########### ########## Tcl recorder starts at 08/18/16 22:11:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:11:43 ########### ########## Tcl recorder starts at 08/18/16 22:11:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:11:47 ########### ########## Tcl recorder starts at 08/18/16 22:13:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:13:05 ########### ########## Tcl recorder starts at 08/18/16 22:13:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:13:09 ########### ########## Tcl recorder starts at 08/18/16 22:13:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:13:42 ########### ########## Tcl recorder starts at 08/18/16 22:13:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:13:46 ########### ########## Tcl recorder starts at 08/18/16 22:14:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:14:56 ########### ########## Tcl recorder starts at 08/18/16 22:14:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:14:59 ########### ########## Tcl recorder starts at 08/18/16 22:16:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:16:24 ########### ########## Tcl recorder starts at 08/18/16 22:16:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:16:34 ########### ########## Tcl recorder starts at 08/18/16 22:17:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:17:38 ########### ########## Tcl recorder starts at 08/18/16 22:17:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:17:41 ########### ########## Tcl recorder starts at 08/18/16 22:18:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:18:37 ########### ########## Tcl recorder starts at 08/18/16 22:18:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:18:40 ########### ########## Tcl recorder starts at 08/18/16 22:20:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:20:07 ########### ########## Tcl recorder starts at 08/18/16 22:20:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:20:10 ########### ########## Tcl recorder starts at 08/18/16 22:21:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:21:33 ########### ########## Tcl recorder starts at 08/18/16 22:21:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:21:37 ########### ########## Tcl recorder starts at 08/18/16 22:22:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:22:48 ########### ########## Tcl recorder starts at 08/18/16 22:22:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:22:56 ########### ########## Tcl recorder starts at 08/18/16 22:23:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:23:53 ########### ########## Tcl recorder starts at 08/18/16 22:23:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:23:55 ########### ########## Tcl recorder starts at 08/18/16 22:24:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:24:43 ########### ########## Tcl recorder starts at 08/18/16 22:24:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:24:45 ########### ########## Tcl recorder starts at 08/18/16 22:25:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:25:40 ########### ########## Tcl recorder starts at 08/18/16 22:25:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:25:43 ########### ########## Tcl recorder starts at 08/18/16 22:27:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:27:16 ########### ########## Tcl recorder starts at 08/18/16 22:27:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:27:24 ########### ########## Tcl recorder starts at 08/18/16 22:32:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:32:12 ########### ########## Tcl recorder starts at 08/18/16 22:32:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:32:14 ########### ########## Tcl recorder starts at 08/18/16 22:33:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:33:51 ########### ########## Tcl recorder starts at 08/18/16 22:33:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:33:54 ########### ########## Tcl recorder starts at 08/18/16 22:34:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:34:39 ########### ########## Tcl recorder starts at 08/18/16 22:34:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:34:43 ########### ########## Tcl recorder starts at 08/18/16 22:36:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:36:01 ########### ########## Tcl recorder starts at 08/18/16 22:36:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:36:03 ########### ########## Tcl recorder starts at 08/18/16 22:37:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:37:03 ########### ########## Tcl recorder starts at 08/18/16 22:37:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:37:10 ########### ########## Tcl recorder starts at 08/18/16 22:38:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:38:03 ########### ########## Tcl recorder starts at 08/18/16 22:38:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:38:33 ########### ########## Tcl recorder starts at 08/18/16 22:38:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:38:35 ########### ########## Tcl recorder starts at 08/18/16 22:39:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:39:50 ########### ########## Tcl recorder starts at 08/18/16 22:39:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:39:55 ########### ########## Tcl recorder starts at 08/18/16 22:40:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:40:44 ########### ########## Tcl recorder starts at 08/18/16 22:40:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:40:57 ########### ########## Tcl recorder starts at 08/18/16 22:41:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:41:54 ########### ########## Tcl recorder starts at 08/18/16 22:41:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:41:59 ########### ########## Tcl recorder starts at 08/18/16 22:42:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:42:50 ########### ########## Tcl recorder starts at 08/18/16 22:42:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:42:52 ########### ########## Tcl recorder starts at 08/18/16 22:43:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:43:37 ########### ########## Tcl recorder starts at 08/18/16 22:43:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:43:40 ########### ########## Tcl recorder starts at 08/18/16 22:45:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:45:51 ########### ########## Tcl recorder starts at 08/18/16 22:45:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:45:55 ########### ########## Tcl recorder starts at 08/18/16 22:46:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:46:35 ########### ########## Tcl recorder starts at 08/18/16 22:46:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:46:36 ########### ########## Tcl recorder starts at 08/18/16 22:47:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:47:51 ########### ########## Tcl recorder starts at 08/18/16 22:47:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:47:54 ########### ########## Tcl recorder starts at 08/18/16 22:53:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:53:32 ########### ########## Tcl recorder starts at 08/18/16 22:53:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:53:33 ########### ########## Tcl recorder starts at 08/18/16 22:55:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:55:52 ########### ########## Tcl recorder starts at 08/18/16 22:55:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:55:52 ########### ########## Tcl recorder starts at 08/18/16 22:56:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:56:48 ########### ########## Tcl recorder starts at 08/18/16 22:56:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:56:48 ########### ########## Tcl recorder starts at 08/18/16 22:57:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:57:03 ########### ########## Tcl recorder starts at 08/18/16 22:57:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 22:57:03 ########### ########## Tcl recorder starts at 08/18/16 23:02:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:02:04 ########### ########## Tcl recorder starts at 08/18/16 23:02:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:02:05 ########### ########## Tcl recorder starts at 08/18/16 23:03:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:03:08 ########### ########## Tcl recorder starts at 08/18/16 23:03:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:03:09 ########### ########## Tcl recorder starts at 08/18/16 23:04:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:04:43 ########### ########## Tcl recorder starts at 08/18/16 23:04:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:04:43 ########### ########## Tcl recorder starts at 08/18/16 23:06:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:06:39 ########### ########## Tcl recorder starts at 08/18/16 23:06:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:06:39 ########### ########## Tcl recorder starts at 08/18/16 23:07:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:07:14 ########### ########## Tcl recorder starts at 08/18/16 23:07:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:07:14 ########### ########## Tcl recorder starts at 08/18/16 23:08:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:08:00 ########### ########## Tcl recorder starts at 08/18/16 23:08:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:08:01 ########### ########## Tcl recorder starts at 08/18/16 23:08:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:08:36 ########### ########## Tcl recorder starts at 08/18/16 23:08:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:08:36 ########### ########## Tcl recorder starts at 08/18/16 23:10:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:10:52 ########### ########## Tcl recorder starts at 08/18/16 23:10:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:10:52 ########### ########## Tcl recorder starts at 08/18/16 23:11:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:11:22 ########### ########## Tcl recorder starts at 08/18/16 23:11:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:11:22 ########### ########## Tcl recorder starts at 08/18/16 23:12:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:12:36 ########### ########## Tcl recorder starts at 08/18/16 23:12:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:12:37 ########### ########## Tcl recorder starts at 08/18/16 23:14:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:14:35 ########### ########## Tcl recorder starts at 08/18/16 23:14:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:14:35 ########### ########## Tcl recorder starts at 08/18/16 23:16:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:16:10 ########### ########## Tcl recorder starts at 08/18/16 23:16:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:16:10 ########### ########## Tcl recorder starts at 08/18/16 23:20:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:20:13 ########### ########## Tcl recorder starts at 08/18/16 23:20:13 ########## # Commands to make the Process: # Constraint Editor if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:20:13 ########### ########## Tcl recorder starts at 08/18/16 23:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:21:13 ########### ########## Tcl recorder starts at 08/18/16 23:21:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:21:14 ########### ########## Tcl recorder starts at 08/18/16 23:21:40 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:21:40 ########### ########## Tcl recorder starts at 08/18/16 23:26:18 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:26:18 ########### ########## Tcl recorder starts at 08/18/16 23:33:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:33:07 ########### ########## Tcl recorder starts at 08/18/16 23:33:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:33:07 ########### ########## Tcl recorder starts at 08/18/16 23:33:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:33:37 ########### ########## Tcl recorder starts at 08/18/16 23:33:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:33:37 ########### ########## Tcl recorder starts at 08/18/16 23:34:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:34:47 ########### ########## Tcl recorder starts at 08/18/16 23:34:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:34:47 ########### ########## Tcl recorder starts at 08/18/16 23:36:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:36:16 ########### ########## Tcl recorder starts at 08/18/16 23:36:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:36:16 ########### ########## Tcl recorder starts at 08/18/16 23:36:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:36:58 ########### ########## Tcl recorder starts at 08/18/16 23:36:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:36:58 ########### ########## Tcl recorder starts at 08/18/16 23:37:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:37:45 ########### ########## Tcl recorder starts at 08/18/16 23:37:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:37:45 ########### ########## Tcl recorder starts at 08/18/16 23:38:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:38:46 ########### ########## Tcl recorder starts at 08/18/16 23:38:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:38:47 ########### ########## Tcl recorder starts at 08/18/16 23:39:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:39:39 ########### ########## Tcl recorder starts at 08/18/16 23:39:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:39:39 ########### ########## Tcl recorder starts at 08/18/16 23:40:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:40:33 ########### ########## Tcl recorder starts at 08/18/16 23:40:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:40:33 ########### ########## Tcl recorder starts at 08/18/16 23:41:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:41:48 ########### ########## Tcl recorder starts at 08/18/16 23:41:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:41:49 ########### ########## Tcl recorder starts at 08/18/16 23:42:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:42:40 ########### ########## Tcl recorder starts at 08/18/16 23:42:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:42:41 ########### ########## Tcl recorder starts at 08/18/16 23:43:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:43:29 ########### ########## Tcl recorder starts at 08/18/16 23:43:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:43:29 ########### ########## Tcl recorder starts at 08/18/16 23:45:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:45:16 ########### ########## Tcl recorder starts at 08/18/16 23:45:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:45:16 ########### ########## Tcl recorder starts at 08/18/16 23:51:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:51:40 ########### ########## Tcl recorder starts at 08/18/16 23:51:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:51:40 ########### ########## Tcl recorder starts at 08/18/16 23:52:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:52:16 ########### ########## Tcl recorder starts at 08/18/16 23:52:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:52:17 ########### ########## Tcl recorder starts at 08/18/16 23:52:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:52:52 ########### ########## Tcl recorder starts at 08/18/16 23:52:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:52:52 ########### ########## Tcl recorder starts at 08/18/16 23:53:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:53:56 ########### ########## Tcl recorder starts at 08/18/16 23:53:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:53:56 ########### ########## Tcl recorder starts at 08/18/16 23:55:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:55:26 ########### ########## Tcl recorder starts at 08/18/16 23:55:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:55:26 ########### ########## Tcl recorder starts at 08/18/16 23:57:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:57:48 ########### ########## Tcl recorder starts at 08/18/16 23:57:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:57:49 ########### ########## Tcl recorder starts at 08/18/16 23:59:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:59:06 ########### ########## Tcl recorder starts at 08/18/16 23:59:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:59:06 ########### ########## Tcl recorder starts at 08/18/16 23:59:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:59:57 ########### ########## Tcl recorder starts at 08/18/16 23:59:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/18/16 23:59:57 ########### ########## Tcl recorder starts at 08/19/16 00:02:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:02:14 ########### ########## Tcl recorder starts at 08/19/16 00:02:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:02:14 ########### ########## Tcl recorder starts at 08/19/16 00:04:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:04:18 ########### ########## Tcl recorder starts at 08/19/16 00:04:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:04:18 ########### ########## Tcl recorder starts at 08/19/16 00:10:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:10:53 ########### ########## Tcl recorder starts at 08/19/16 00:10:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:10:54 ########### ########## Tcl recorder starts at 08/19/16 00:11:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:11:24 ########### ########## Tcl recorder starts at 08/19/16 00:11:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:11:24 ########### ########## Tcl recorder starts at 08/19/16 00:11:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:11:51 ########### ########## Tcl recorder starts at 08/19/16 00:11:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:11:51 ########### ########## Tcl recorder starts at 08/19/16 00:12:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:12:54 ########### ########## Tcl recorder starts at 08/19/16 00:12:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:12:54 ########### ########## Tcl recorder starts at 08/19/16 00:16:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:16:45 ########### ########## Tcl recorder starts at 08/19/16 00:16:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:16:45 ########### ########## Tcl recorder starts at 08/19/16 00:18:22 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:18:22 ########### ########## Tcl recorder starts at 08/19/16 00:18:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:18:22 ########### ########## Tcl recorder starts at 08/19/16 00:20:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:20:26 ########### ########## Tcl recorder starts at 08/19/16 00:20:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:20:27 ########### ########## Tcl recorder starts at 08/19/16 00:22:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:22:47 ########### ########## Tcl recorder starts at 08/19/16 00:22:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:22:47 ########### ########## Tcl recorder starts at 08/19/16 00:24:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:24:31 ########### ########## Tcl recorder starts at 08/19/16 00:24:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:24:31 ########### ########## Tcl recorder starts at 08/19/16 00:25:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:25:25 ########### ########## Tcl recorder starts at 08/19/16 00:25:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:25:25 ########### ########## Tcl recorder starts at 08/19/16 00:26:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:26:30 ########### ########## Tcl recorder starts at 08/19/16 00:26:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:26:30 ########### ########## Tcl recorder starts at 08/19/16 00:29:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:29:25 ########### ########## Tcl recorder starts at 08/19/16 00:29:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:29:25 ########### ########## Tcl recorder starts at 08/19/16 00:32:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:32:29 ########### ########## Tcl recorder starts at 08/19/16 00:32:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:32:30 ########### ########## Tcl recorder starts at 08/19/16 00:35:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:35:17 ########### ########## Tcl recorder starts at 08/19/16 00:35:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:35:17 ########### ########## Tcl recorder starts at 08/19/16 00:38:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:38:08 ########### ########## Tcl recorder starts at 08/19/16 00:38:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:38:09 ########### ########## Tcl recorder starts at 08/19/16 00:39:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:39:20 ########### ########## Tcl recorder starts at 08/19/16 00:39:21 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/19/16 00:39:21 ########### ########## Tcl recorder starts at 08/19/16 00:39:48 ########## # Commands to make the Process: # Report File if [catch {open 68030_tk.rss w} rspFile] { puts stderr "Cannot create response file 68030_tk.rss: $rspFile" } else { puts $rspFile "-i \"68030_tk.tte\" -lib \"$install_dir/ispcpld/dat/mach4a\" -strategy top -sdfmdl \"$install_dir/ispcpld/dat/sdf.mdl\" -simmdl \"$install_dir/ispcpld/dat/sim.mdl\" -pla \"68030_tk.tte\" -lci \"68030_tk.lct\" -prj \"68030_tk\" -dir \"$proj_dir\" -err automake.err -log \"68030_tk.nrp\" -exf \"BUS68030.exf\" " close $rspFile } if [runCmd "\"$cpld_bin/sdf\" \"@68030_tk.rss\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rss ########## Tcl recorder end at 08/19/16 00:39:48 ########### ########## Tcl recorder starts at 08/21/16 01:25:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:25:28 ########### ########## Tcl recorder starts at 08/21/16 01:25:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:25:29 ########### ########## Tcl recorder starts at 08/21/16 01:25:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:25:59 ########### ########## Tcl recorder starts at 08/21/16 01:25:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:25:59 ########### ########## Tcl recorder starts at 08/21/16 01:27:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:27:31 ########### ########## Tcl recorder starts at 08/21/16 01:27:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:27:31 ########### ########## Tcl recorder starts at 08/21/16 01:30:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:30:18 ########### ########## Tcl recorder starts at 08/21/16 01:30:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:30:18 ########### ########## Tcl recorder starts at 08/21/16 01:35:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:35:01 ########### ########## Tcl recorder starts at 08/21/16 01:35:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:35:02 ########### ########## Tcl recorder starts at 08/21/16 01:36:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:36:19 ########### ########## Tcl recorder starts at 08/21/16 01:36:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:36:20 ########### ########## Tcl recorder starts at 08/21/16 01:38:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:38:53 ########### ########## Tcl recorder starts at 08/21/16 01:38:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:38:53 ########### ########## Tcl recorder starts at 08/21/16 01:47:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:47:26 ########### ########## Tcl recorder starts at 08/21/16 01:47:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:47:27 ########### ########## Tcl recorder starts at 08/21/16 01:47:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:47:49 ########### ########## Tcl recorder starts at 08/21/16 01:47:50 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:47:50 ########### ########## Tcl recorder starts at 08/21/16 01:48:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:48:02 ########### ########## Tcl recorder starts at 08/21/16 01:48:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:48:02 ########### ########## Tcl recorder starts at 08/21/16 01:49:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:49:08 ########### ########## Tcl recorder starts at 08/21/16 01:49:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:49:08 ########### ########## Tcl recorder starts at 08/21/16 01:50:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:50:35 ########### ########## Tcl recorder starts at 08/21/16 01:50:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:50:35 ########### ########## Tcl recorder starts at 08/21/16 01:50:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:50:58 ########### ########## Tcl recorder starts at 08/21/16 01:50:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:50:58 ########### ########## Tcl recorder starts at 08/21/16 01:51:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:51:35 ########### ########## Tcl recorder starts at 08/21/16 01:51:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:51:35 ########### ########## Tcl recorder starts at 08/21/16 01:52:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:52:17 ########### ########## Tcl recorder starts at 08/21/16 01:52:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:52:17 ########### ########## Tcl recorder starts at 08/21/16 01:55:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:55:20 ########### ########## Tcl recorder starts at 08/21/16 01:55:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:55:20 ########### ########## Tcl recorder starts at 08/21/16 01:57:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:57:20 ########### ########## Tcl recorder starts at 08/21/16 01:57:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:57:20 ########### ########## Tcl recorder starts at 08/21/16 01:57:45 ########## # Commands to make the Process: # Fitter Report if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 01:57:46 ########### ########## Tcl recorder starts at 08/21/16 02:01:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:01:09 ########### ########## Tcl recorder starts at 08/21/16 02:01:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:01:10 ########### ########## Tcl recorder starts at 08/21/16 02:03:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:03:06 ########### ########## Tcl recorder starts at 08/21/16 02:03:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:03:06 ########### ########## Tcl recorder starts at 08/21/16 02:04:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:04:56 ########### ########## Tcl recorder starts at 08/21/16 02:04:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:04:57 ########### ########## Tcl recorder starts at 08/21/16 02:05:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:05:18 ########### ########## Tcl recorder starts at 08/21/16 02:05:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:05:18 ########### ########## Tcl recorder starts at 08/21/16 02:05:51 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:05:51 ########### ########## Tcl recorder starts at 08/21/16 02:06:24 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:06:24 ########### ########## Tcl recorder starts at 08/21/16 02:07:06 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:07:06 ########### ########## Tcl recorder starts at 08/21/16 02:07:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:07:27 ########### ########## Tcl recorder starts at 08/21/16 02:07:48 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:07:48 ########### ########## Tcl recorder starts at 08/21/16 02:08:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:08:47 ########### ########## Tcl recorder starts at 08/21/16 02:08:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:08:47 ########### ########## Tcl recorder starts at 08/21/16 02:09:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:09:17 ########### ########## Tcl recorder starts at 08/21/16 02:09:30 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:09:30 ########### ########## Tcl recorder starts at 08/21/16 02:09:52 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:09:52 ########### ########## Tcl recorder starts at 08/21/16 02:10:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:10:43 ########### ########## Tcl recorder starts at 08/21/16 02:10:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:10:43 ########### ########## Tcl recorder starts at 08/21/16 02:11:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:11:17 ########### ########## Tcl recorder starts at 08/21/16 02:11:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:11:27 ########### ########## Tcl recorder starts at 08/21/16 02:16:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:16:14 ########### ########## Tcl recorder starts at 08/21/16 02:16:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:16:14 ########### ########## Tcl recorder starts at 08/21/16 02:20:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:20:20 ########### ########## Tcl recorder starts at 08/21/16 02:20:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:20:20 ########### ########## Tcl recorder starts at 08/21/16 02:21:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:21:13 ########### ########## Tcl recorder starts at 08/21/16 02:21:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:21:13 ########### ########## Tcl recorder starts at 08/21/16 02:22:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:22:15 ########### ########## Tcl recorder starts at 08/21/16 02:22:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:22:16 ########### ########## Tcl recorder starts at 08/21/16 02:22:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:22:43 ########### ########## Tcl recorder starts at 08/21/16 02:24:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:24:53 ########### ########## Tcl recorder starts at 08/21/16 02:24:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:24:53 ########### ########## Tcl recorder starts at 08/21/16 02:31:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:31:45 ########### ########## Tcl recorder starts at 08/21/16 02:31:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:31:46 ########### ########## Tcl recorder starts at 08/21/16 02:32:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:32:36 ########### ########## Tcl recorder starts at 08/21/16 02:32:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:32:36 ########### ########## Tcl recorder starts at 08/21/16 02:33:04 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:33:04 ########### ########## Tcl recorder starts at 08/21/16 02:35:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:35:11 ########### ########## Tcl recorder starts at 08/21/16 02:35:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:35:11 ########### ########## Tcl recorder starts at 08/21/16 02:36:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:36:48 ########### ########## Tcl recorder starts at 08/21/16 02:36:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:36:48 ########### ########## Tcl recorder starts at 08/21/16 02:38:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:38:24 ########### ########## Tcl recorder starts at 08/21/16 02:38:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:38:24 ########### ########## Tcl recorder starts at 08/21/16 02:38:48 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:38:48 ########### ########## Tcl recorder starts at 08/21/16 02:39:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:39:13 ########### ########## Tcl recorder starts at 08/21/16 02:39:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:39:14 ########### ########## Tcl recorder starts at 08/21/16 02:44:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:44:29 ########### ########## Tcl recorder starts at 08/21/16 02:44:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:44:30 ########### ########## Tcl recorder starts at 08/21/16 02:44:57 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:44:57 ########### ########## Tcl recorder starts at 08/21/16 02:47:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:47:16 ########### ########## Tcl recorder starts at 08/21/16 02:47:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:47:16 ########### ########## Tcl recorder starts at 08/21/16 02:48:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:48:05 ########### ########## Tcl recorder starts at 08/21/16 02:50:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:50:37 ########### ########## Tcl recorder starts at 08/21/16 02:50:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:50:38 ########### ########## Tcl recorder starts at 08/21/16 02:51:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:51:03 ########### ########## Tcl recorder starts at 08/21/16 02:51:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:51:31 ########### ########## Tcl recorder starts at 08/21/16 02:51:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:51:31 ########### ########## Tcl recorder starts at 08/21/16 02:51:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:51:56 ########### ########## Tcl recorder starts at 08/21/16 02:52:23 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:52:23 ########### ########## Tcl recorder starts at 08/21/16 02:52:43 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:52:43 ########### ########## Tcl recorder starts at 08/21/16 02:52:59 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:52:59 ########### ########## Tcl recorder starts at 08/21/16 02:53:15 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:53:15 ########### ########## Tcl recorder starts at 08/21/16 02:53:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:53:27 ########### ########## Tcl recorder starts at 08/21/16 02:53:48 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:53:48 ########### ########## Tcl recorder starts at 08/21/16 02:56:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:56:17 ########### ########## Tcl recorder starts at 08/21/16 02:56:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:56:45 ########### ########## Tcl recorder starts at 08/21/16 02:56:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 02:56:46 ########### ########## Tcl recorder starts at 08/21/16 21:29:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:29:46 ########### ########## Tcl recorder starts at 08/21/16 21:29:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:29:46 ########### ########## Tcl recorder starts at 08/21/16 21:33:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:33:05 ########### ########## Tcl recorder starts at 08/21/16 21:33:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:33:06 ########### ########## Tcl recorder starts at 08/21/16 21:33:36 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:33:36 ########### ########## Tcl recorder starts at 08/21/16 21:34:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:34:13 ########### ########## Tcl recorder starts at 08/21/16 21:34:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:34:14 ########### ########## Tcl recorder starts at 08/21/16 21:35:03 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:35:03 ########### ########## Tcl recorder starts at 08/21/16 21:35:18 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:35:18 ########### ########## Tcl recorder starts at 08/21/16 21:35:45 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:35:45 ########### ########## Tcl recorder starts at 08/21/16 21:35:56 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:35:56 ########### ########## Tcl recorder starts at 08/21/16 21:36:06 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:36:06 ########### ########## Tcl recorder starts at 08/21/16 21:36:26 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:36:26 ########### ########## Tcl recorder starts at 08/21/16 21:36:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:36:41 ########### ########## Tcl recorder starts at 08/21/16 21:37:12 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:37:12 ########### ########## Tcl recorder starts at 08/21/16 21:37:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 21:37:27 ########### ########## Tcl recorder starts at 08/21/16 22:12:23 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:12:23 ########### ########## Tcl recorder starts at 08/21/16 22:13:32 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:13:32 ########### ########## Tcl recorder starts at 08/21/16 22:13:51 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:13:51 ########### ########## Tcl recorder starts at 08/21/16 22:14:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:14:03 ########### ########## Tcl recorder starts at 08/21/16 22:15:44 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:15:44 ########### ########## Tcl recorder starts at 08/21/16 22:17:53 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:17:53 ########### ########## Tcl recorder starts at 08/21/16 22:18:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:18:03 ########### ########## Tcl recorder starts at 08/21/16 22:18:15 ########## # Commands to make the Process: # Post-Fit Pinouts if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:18:15 ########### ########## Tcl recorder starts at 08/21/16 22:18:24 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:18:24 ########### ########## Tcl recorder starts at 08/21/16 22:18:41 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:18:41 ########### ########## Tcl recorder starts at 08/21/16 22:20:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:20:02 ########### ########## Tcl recorder starts at 08/21/16 22:20:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:20:02 ########### ########## Tcl recorder starts at 08/21/16 22:20:39 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:20:39 ########### ########## Tcl recorder starts at 08/21/16 22:21:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:21:32 ########### ########## Tcl recorder starts at 08/21/16 22:21:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:21:33 ########### ########## Tcl recorder starts at 08/21/16 22:28:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:28:05 ########### ########## Tcl recorder starts at 08/21/16 22:28:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:28:05 ########### ########## Tcl recorder starts at 08/21/16 22:29:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:29:18 ########### ########## Tcl recorder starts at 08/21/16 22:29:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:29:18 ########### ########## Tcl recorder starts at 08/21/16 22:30:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:30:43 ########### ########## Tcl recorder starts at 08/21/16 22:30:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:30:43 ########### ########## Tcl recorder starts at 08/21/16 22:31:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:31:04 ########### ########## Tcl recorder starts at 08/21/16 22:31:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/21/16 22:31:04 ########### ########## Tcl recorder starts at 08/22/16 19:24:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:24:42 ########### ########## Tcl recorder starts at 08/22/16 19:24:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:24:42 ########### ########## Tcl recorder starts at 08/22/16 19:25:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:25:30 ########### ########## Tcl recorder starts at 08/22/16 19:25:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:25:30 ########### ########## Tcl recorder starts at 08/22/16 19:28:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:28:19 ########### ########## Tcl recorder starts at 08/22/16 19:28:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:28:20 ########### ########## Tcl recorder starts at 08/22/16 19:29:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:29:33 ########### ########## Tcl recorder starts at 08/22/16 19:29:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:29:33 ########### ########## Tcl recorder starts at 08/22/16 19:30:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:30:59 ########### ########## Tcl recorder starts at 08/22/16 19:31:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:31:00 ########### ########## Tcl recorder starts at 08/22/16 19:33:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:33:03 ########### ########## Tcl recorder starts at 08/22/16 19:33:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:33:04 ########### ########## Tcl recorder starts at 08/22/16 19:33:53 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:33:53 ########### ########## Tcl recorder starts at 08/22/16 19:35:00 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:00 ########### ########## Tcl recorder starts at 08/22/16 19:35:11 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:11 ########### ########## Tcl recorder starts at 08/22/16 19:35:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:17 ########### ########## Tcl recorder starts at 08/22/16 19:35:31 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:31 ########### ########## Tcl recorder starts at 08/22/16 19:35:41 ########## # Commands to make the Process: # JEDEC File if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:41 ########### ########## Tcl recorder starts at 08/22/16 19:35:46 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:35:46 ########### ########## Tcl recorder starts at 08/22/16 19:36:06 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:36:06 ########### ########## Tcl recorder starts at 08/22/16 19:38:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:38:52 ########### ########## Tcl recorder starts at 08/22/16 19:38:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:38:52 ########### ########## Tcl recorder starts at 08/22/16 19:39:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:39:40 ########### ########## Tcl recorder starts at 08/22/16 19:39:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:39:40 ########### ########## Tcl recorder starts at 08/22/16 19:40:08 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:40:08 ########### ########## Tcl recorder starts at 08/22/16 19:40:19 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:40:19 ########### ########## Tcl recorder starts at 08/22/16 19:40:32 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:40:32 ########### ########## Tcl recorder starts at 08/22/16 19:40:46 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:40:46 ########### ########## Tcl recorder starts at 08/22/16 19:42:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:42:40 ########### ########## Tcl recorder starts at 08/22/16 19:42:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:42:40 ########### ########## Tcl recorder starts at 08/22/16 19:44:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:44:28 ########### ########## Tcl recorder starts at 08/22/16 19:44:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:44:29 ########### ########## Tcl recorder starts at 08/22/16 19:46:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:46:00 ########### ########## Tcl recorder starts at 08/22/16 19:46:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:46:00 ########### ########## Tcl recorder starts at 08/22/16 19:47:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:47:45 ########### ########## Tcl recorder starts at 08/22/16 19:47:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:47:45 ########### ########## Tcl recorder starts at 08/22/16 19:49:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:49:18 ########### ########## Tcl recorder starts at 08/22/16 19:49:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:49:19 ########### ########## Tcl recorder starts at 08/22/16 19:50:16 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:50:16 ########### ########## Tcl recorder starts at 08/22/16 19:50:35 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:50:35 ########### ########## Tcl recorder starts at 08/22/16 19:50:47 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:50:47 ########### ########## Tcl recorder starts at 08/22/16 19:50:57 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:50:57 ########### ########## Tcl recorder starts at 08/22/16 19:51:08 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:51:08 ########### ########## Tcl recorder starts at 08/22/16 19:51:18 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/22/16 19:51:18 ########### ########## Tcl recorder starts at 08/23/16 19:23:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:23:55 ########### ########## Tcl recorder starts at 08/23/16 19:23:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:23:55 ########### ########## Tcl recorder starts at 08/23/16 19:24:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:24:59 ########### ########## Tcl recorder starts at 08/23/16 19:24:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:25:00 ########### ########## Tcl recorder starts at 08/23/16 19:26:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:26:58 ########### ########## Tcl recorder starts at 08/23/16 19:26:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:26:58 ########### ########## Tcl recorder starts at 08/23/16 19:28:11 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:28:11 ########### ########## Tcl recorder starts at 08/23/16 19:28:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:28:49 ########### ########## Tcl recorder starts at 08/23/16 19:28:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:28:50 ########### ########## Tcl recorder starts at 08/23/16 19:30:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:30:38 ########### ########## Tcl recorder starts at 08/23/16 19:30:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:30:38 ########### ########## Tcl recorder starts at 08/23/16 19:34:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:34:43 ########### ########## Tcl recorder starts at 08/23/16 19:34:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:34:43 ########### ########## Tcl recorder starts at 08/23/16 19:35:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:35:42 ########### ########## Tcl recorder starts at 08/23/16 19:35:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:35:42 ########### ########## Tcl recorder starts at 08/23/16 19:37:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:37:53 ########### ########## Tcl recorder starts at 08/23/16 19:37:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:37:53 ########### ########## Tcl recorder starts at 08/23/16 19:38:18 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:38:18 ########### ########## Tcl recorder starts at 08/23/16 19:40:41 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:40:42 ########### ########## Tcl recorder starts at 08/23/16 19:40:50 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:40:50 ########### ########## Tcl recorder starts at 08/23/16 19:41:00 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:41:00 ########### ########## Tcl recorder starts at 08/23/16 19:41:11 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:41:11 ########### ########## Tcl recorder starts at 08/23/16 19:41:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:41:38 ########### ########## Tcl recorder starts at 08/23/16 19:41:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:41:39 ########### ########## Tcl recorder starts at 08/23/16 19:42:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:42:40 ########### ########## Tcl recorder starts at 08/23/16 19:42:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:42:41 ########### ########## Tcl recorder starts at 08/23/16 19:43:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:43:28 ########### ########## Tcl recorder starts at 08/23/16 19:43:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:43:29 ########### ########## Tcl recorder starts at 08/23/16 19:45:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:45:08 ########### ########## Tcl recorder starts at 08/23/16 19:45:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:45:09 ########### ########## Tcl recorder starts at 08/23/16 19:48:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:48:02 ########### ########## Tcl recorder starts at 08/23/16 19:48:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:48:03 ########### ########## Tcl recorder starts at 08/23/16 19:49:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:49:35 ########### ########## Tcl recorder starts at 08/23/16 19:49:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:49:35 ########### ########## Tcl recorder starts at 08/23/16 19:51:25 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:51:25 ########### ########## Tcl recorder starts at 08/23/16 19:51:25 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:51:26 ########### ########## Tcl recorder starts at 08/23/16 19:52:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:52:14 ########### ########## Tcl recorder starts at 08/23/16 19:52:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:52:15 ########### ########## Tcl recorder starts at 08/23/16 19:58:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:58:28 ########### ########## Tcl recorder starts at 08/23/16 19:58:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 19:58:29 ########### ########## Tcl recorder starts at 08/23/16 20:04:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:04:37 ########### ########## Tcl recorder starts at 08/23/16 20:04:37 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:04:37 ########### ########## Tcl recorder starts at 08/23/16 20:05:35 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:05:35 ########### ########## Tcl recorder starts at 08/23/16 20:05:57 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:05:57 ########### ########## Tcl recorder starts at 08/23/16 20:06:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:06:17 ########### ########## Tcl recorder starts at 08/23/16 20:07:04 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:07:04 ########### ########## Tcl recorder starts at 08/23/16 20:07:17 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:07:17 ########### ########## Tcl recorder starts at 08/23/16 20:08:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:08:11 ########### ########## Tcl recorder starts at 08/23/16 20:08:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:08:11 ########### ########## Tcl recorder starts at 08/23/16 20:10:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:10:10 ########### ########## Tcl recorder starts at 08/23/16 20:10:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:10:10 ########### ########## Tcl recorder starts at 08/23/16 20:13:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:13:34 ########### ########## Tcl recorder starts at 08/23/16 20:13:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:13:34 ########### ########## Tcl recorder starts at 08/23/16 20:18:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:18:31 ########### ########## Tcl recorder starts at 08/23/16 20:18:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:18:31 ########### ########## Tcl recorder starts at 08/23/16 20:23:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:23:34 ########### ########## Tcl recorder starts at 08/23/16 20:23:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:23:34 ########### ########## Tcl recorder starts at 08/23/16 20:27:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:27:23 ########### ########## Tcl recorder starts at 08/23/16 20:27:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:27:23 ########### ########## Tcl recorder starts at 08/23/16 20:30:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:30:14 ########### ########## Tcl recorder starts at 08/23/16 20:30:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:30:15 ########### ########## Tcl recorder starts at 08/23/16 20:30:34 ########## # Commands to make the Process: # Report File if [catch {open 68030_tk.rss w} rspFile] { puts stderr "Cannot create response file 68030_tk.rss: $rspFile" } else { puts $rspFile "-i \"68030_tk.tte\" -lib \"$install_dir/ispcpld/dat/mach4a\" -strategy top -sdfmdl \"$install_dir/ispcpld/dat/sdf.mdl\" -simmdl \"$install_dir/ispcpld/dat/sim.mdl\" -pla \"68030_tk.tte\" -lci \"68030_tk.lct\" -prj \"68030_tk\" -dir \"$proj_dir\" -err automake.err -log \"68030_tk.nrp\" -exf \"BUS68030.exf\" " close $rspFile } if [runCmd "\"$cpld_bin/sdf\" \"@68030_tk.rss\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rss ########## Tcl recorder end at 08/23/16 20:30:34 ########### ########## Tcl recorder starts at 08/23/16 20:33:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:33:41 ########### ########## Tcl recorder starts at 08/23/16 20:33:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:33:41 ########### ########## Tcl recorder starts at 08/23/16 20:36:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:36:16 ########### ########## Tcl recorder starts at 08/23/16 20:36:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/23/16 20:36:17 ########### ########## Tcl recorder starts at 08/24/16 21:00:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:00:53 ########### ########## Tcl recorder starts at 08/24/16 21:00:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:00:53 ########### ########## Tcl recorder starts at 08/24/16 21:03:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:03:23 ########### ########## Tcl recorder starts at 08/24/16 21:03:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:03:23 ########### ########## Tcl recorder starts at 08/24/16 21:17:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:17:52 ########### ########## Tcl recorder starts at 08/24/16 21:17:53 ########## # Commands to make the Process: # Post-Fit Pinouts if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } # Application to view the Process: # Post-Fit Pinouts if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -postfit -lci 68030_tk.lco " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 21:17:53 ########### ########## Tcl recorder starts at 08/24/16 22:17:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 22:17:34 ########### ########## Tcl recorder starts at 08/24/16 22:17:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 22:17:35 ########### ########## Tcl recorder starts at 08/24/16 23:34:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 23:34:48 ########### ########## Tcl recorder starts at 08/24/16 23:34:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/24/16 23:34:49 ########### ########## Tcl recorder starts at 08/25/16 21:49:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:49:13 ########### ########## Tcl recorder starts at 08/25/16 21:49:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:49:13 ########### ########## Tcl recorder starts at 08/25/16 21:50:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:50:31 ########### ########## Tcl recorder starts at 08/25/16 21:50:43 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:50:43 ########### ########## Tcl recorder starts at 08/25/16 21:50:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:50:54 ########### ########## Tcl recorder starts at 08/25/16 21:52:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:52:29 ########### ########## Tcl recorder starts at 08/25/16 21:52:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:52:30 ########### ########## Tcl recorder starts at 08/25/16 21:53:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:53:36 ########### ########## Tcl recorder starts at 08/25/16 21:53:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:53:36 ########### ########## Tcl recorder starts at 08/25/16 21:54:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:54:50 ########### ########## Tcl recorder starts at 08/25/16 21:54:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:54:50 ########### ########## Tcl recorder starts at 08/25/16 21:56:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:56:04 ########### ########## Tcl recorder starts at 08/25/16 21:56:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:56:04 ########### ########## Tcl recorder starts at 08/25/16 21:56:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:56:38 ########### ########## Tcl recorder starts at 08/25/16 21:56:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 21:56:38 ########### ########## Tcl recorder starts at 08/25/16 22:00:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:00:17 ########### ########## Tcl recorder starts at 08/25/16 22:00:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:00:17 ########### ########## Tcl recorder starts at 08/25/16 22:05:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:05:03 ########### ########## Tcl recorder starts at 08/25/16 22:05:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:05:03 ########### ########## Tcl recorder starts at 08/25/16 22:10:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:10:01 ########### ########## Tcl recorder starts at 08/25/16 22:10:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:10:01 ########### ########## Tcl recorder starts at 08/25/16 22:10:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:10:42 ########### ########## Tcl recorder starts at 08/25/16 22:10:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:10:42 ########### ########## Tcl recorder starts at 08/25/16 22:11:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:11:17 ########### ########## Tcl recorder starts at 08/25/16 22:11:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:11:18 ########### ########## Tcl recorder starts at 08/25/16 22:13:36 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:13:36 ########### ########## Tcl recorder starts at 08/25/16 22:13:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:13:36 ########### ########## Tcl recorder starts at 08/25/16 22:15:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:15:54 ########### ########## Tcl recorder starts at 08/25/16 22:15:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:15:54 ########### ########## Tcl recorder starts at 08/25/16 22:18:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:18:59 ########### ########## Tcl recorder starts at 08/25/16 22:19:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:19:00 ########### ########## Tcl recorder starts at 08/25/16 22:27:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:27:39 ########### ########## Tcl recorder starts at 08/25/16 22:27:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 22:27:39 ########### ########## Tcl recorder starts at 08/25/16 23:46:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 23:46:26 ########### ########## Tcl recorder starts at 08/25/16 23:46:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/25/16 23:46:26 ########### ########## Tcl recorder starts at 08/26/16 20:59:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 20:59:01 ########### ########## Tcl recorder starts at 08/26/16 20:59:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 20:59:02 ########### ########## Tcl recorder starts at 08/26/16 21:00:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:00:41 ########### ########## Tcl recorder starts at 08/26/16 21:00:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:00:41 ########### ########## Tcl recorder starts at 08/26/16 21:15:10 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:15:10 ########### ########## Tcl recorder starts at 08/26/16 21:15:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:15:10 ########### ########## Tcl recorder starts at 08/26/16 21:20:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:20:08 ########### ########## Tcl recorder starts at 08/26/16 21:20:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:20:08 ########### ########## Tcl recorder starts at 08/26/16 21:41:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:41:50 ########### ########## Tcl recorder starts at 08/26/16 21:41:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:41:50 ########### ########## Tcl recorder starts at 08/26/16 21:52:54 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:52:54 ########### ########## Tcl recorder starts at 08/26/16 21:52:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/26/16 21:52:54 ########### ########## Tcl recorder starts at 08/30/16 22:39:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/30/16 22:39:02 ########### ########## Tcl recorder starts at 08/30/16 22:39:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 08/30/16 22:39:03 ########### ########## Tcl recorder starts at 09/03/16 20:35:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/03/16 20:35:38 ########### ########## Tcl recorder starts at 09/03/16 20:35:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/03/16 20:35:39 ########### ########## Tcl recorder starts at 09/14/16 23:42:20 ########## set version "2.0" set proj_dir "C:/Users/Matze/Amiga/Hardwarehacks/68030-TK/GitHub/Logic" cd $proj_dir # Get directory paths set pver $version regsub -all {\.} $pver {_} pver set lscfile "lsc_" append lscfile $pver ".ini" set lsvini_dir [lindex [array get env LSC_INI_PATH] 1] set lsvini_path [file join $lsvini_dir $lscfile] if {[catch {set fid [open $lsvini_path]} msg]} { puts "File Open Error: $lsvini_path" return false } else {set data [read $fid]; close $fid } foreach line [split $data '\n'] { set lline [string tolower $line] set lline [string trim $lline] if {[string compare $lline "\[paths\]"] == 0} { set path 1; continue} if {$path && [regexp {^\[} $lline]} {set path 0; break} if {$path && [regexp {^bin} $lline]} {set cpld_bin $line; continue} if {$path && [regexp {^fpgapath} $lline]} {set fpga_dir $line; continue} if {$path && [regexp {^fpgabinpath} $lline]} {set fpga_bin $line}} set cpld_bin [string range $cpld_bin [expr [string first "=" $cpld_bin]+1] end] regsub -all "\"" $cpld_bin "" cpld_bin set cpld_bin [file join $cpld_bin] set install_dir [string range $cpld_bin 0 [expr [string first "ispcpld" $cpld_bin]-2]] regsub -all "\"" $install_dir "" install_dir set install_dir [file join $install_dir] set fpga_dir [string range $fpga_dir [expr [string first "=" $fpga_dir]+1] end] regsub -all "\"" $fpga_dir "" fpga_dir set fpga_dir [file join $fpga_dir] set fpga_bin [string range $fpga_bin [expr [string first "=" $fpga_bin]+1] end] regsub -all "\"" $fpga_bin "" fpga_bin set fpga_bin [file join $fpga_bin] if {[string match "*$fpga_bin;*" $env(PATH)] == 0 } { set env(PATH) "$fpga_bin;$env(PATH)" } if {[string match "*$cpld_bin;*" $env(PATH)] == 0 } { set env(PATH) "$cpld_bin;$env(PATH)" } lappend auto_path [file join $install_dir "ispcpld" "tcltk" "lib" "ispwidget" "runproc"] package require runcmd # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/16 23:42:20 ########### ########## Tcl recorder starts at 09/14/16 23:45:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/16 23:45:12 ########### ########## Tcl recorder starts at 09/14/16 23:45:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/16 23:45:12 ########### ########## Tcl recorder starts at 09/14/16 23:54:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/16 23:54:13 ########### ########## Tcl recorder starts at 09/14/16 23:54:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/14/16 23:54:13 ########### ########## Tcl recorder starts at 09/30/16 23:16:17 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 09/30/16 23:16:17 ########### ########## Tcl recorder starts at 10/05/16 21:40:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:40:23 ########### ########## Tcl recorder starts at 10/05/16 21:40:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:40:23 ########### ########## Tcl recorder starts at 10/05/16 21:42:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:42:17 ########### ########## Tcl recorder starts at 10/05/16 21:42:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:42:17 ########### ########## Tcl recorder starts at 10/05/16 21:44:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:44:35 ########### ########## Tcl recorder starts at 10/05/16 21:44:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:44:36 ########### ########## Tcl recorder starts at 10/05/16 21:44:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:44:57 ########### ########## Tcl recorder starts at 10/05/16 21:44:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:44:58 ########### ########## Tcl recorder starts at 10/05/16 21:45:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:45:32 ########### ########## Tcl recorder starts at 10/05/16 21:45:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:45:32 ########### ########## Tcl recorder starts at 10/05/16 21:46:06 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:46:06 ########### ########## Tcl recorder starts at 10/05/16 21:47:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:47:12 ########### ########## Tcl recorder starts at 10/05/16 21:47:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:47:12 ########### ########## Tcl recorder starts at 10/05/16 21:54:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:54:47 ########### ########## Tcl recorder starts at 10/05/16 21:54:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/05/16 21:54:47 ########### ########## Tcl recorder starts at 10/06/16 15:25:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 15:25:26 ########### ########## Tcl recorder starts at 10/06/16 16:42:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:42:55 ########### ########## Tcl recorder starts at 10/06/16 16:42:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:42:55 ########### ########## Tcl recorder starts at 10/06/16 16:43:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:43:39 ########### ########## Tcl recorder starts at 10/06/16 16:43:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:43:39 ########### ########## Tcl recorder starts at 10/06/16 16:44:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:44:35 ########### ########## Tcl recorder starts at 10/06/16 16:44:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:44:35 ########### ########## Tcl recorder starts at 10/06/16 16:48:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:48:08 ########### ########## Tcl recorder starts at 10/06/16 16:48:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 16:48:08 ########### ########## Tcl recorder starts at 10/06/16 20:17:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:17:43 ########### ########## Tcl recorder starts at 10/06/16 20:17:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:17:43 ########### ########## Tcl recorder starts at 10/06/16 20:19:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:19:42 ########### ########## Tcl recorder starts at 10/06/16 20:19:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:19:42 ########### ########## Tcl recorder starts at 10/06/16 20:21:06 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:21:06 ########### ########## Tcl recorder starts at 10/06/16 20:21:06 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:21:06 ########### ########## Tcl recorder starts at 10/06/16 20:21:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:21:55 ########### ########## Tcl recorder starts at 10/06/16 20:21:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:21:56 ########### ########## Tcl recorder starts at 10/06/16 20:23:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:23:32 ########### ########## Tcl recorder starts at 10/06/16 20:23:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:23:32 ########### ########## Tcl recorder starts at 10/06/16 20:24:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:24:30 ########### ########## Tcl recorder starts at 10/06/16 20:24:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:24:30 ########### ########## Tcl recorder starts at 10/06/16 20:28:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:28:01 ########### ########## Tcl recorder starts at 10/06/16 20:28:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:28:01 ########### ########## Tcl recorder starts at 10/06/16 20:29:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:29:58 ########### ########## Tcl recorder starts at 10/06/16 20:29:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:29:58 ########### ########## Tcl recorder starts at 10/06/16 20:58:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:58:19 ########### ########## Tcl recorder starts at 10/06/16 20:58:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 20:58:19 ########### ########## Tcl recorder starts at 10/06/16 21:00:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:00:11 ########### ########## Tcl recorder starts at 10/06/16 21:00:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:00:11 ########### ########## Tcl recorder starts at 10/06/16 21:06:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:06:08 ########### ########## Tcl recorder starts at 10/06/16 21:06:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:06:08 ########### ########## Tcl recorder starts at 10/06/16 21:08:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:08:03 ########### ########## Tcl recorder starts at 10/06/16 21:08:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:08:03 ########### ########## Tcl recorder starts at 10/06/16 21:10:51 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:10:51 ########### ########## Tcl recorder starts at 10/06/16 21:10:54 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:10:54 ########### ########## Tcl recorder starts at 10/06/16 21:12:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:12:08 ########### ########## Tcl recorder starts at 10/06/16 21:12:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:12:08 ########### ########## Tcl recorder starts at 10/06/16 21:15:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:15:04 ########### ########## Tcl recorder starts at 10/06/16 21:15:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:15:04 ########### ########## Tcl recorder starts at 10/06/16 21:17:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:17:58 ########### ########## Tcl recorder starts at 10/06/16 21:17:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:17:58 ########### ########## Tcl recorder starts at 10/06/16 21:19:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:19:05 ########### ########## Tcl recorder starts at 10/06/16 21:19:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:19:05 ########### ########## Tcl recorder starts at 10/06/16 21:23:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:23:19 ########### ########## Tcl recorder starts at 10/06/16 21:23:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:23:20 ########### ########## Tcl recorder starts at 10/06/16 21:26:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:26:32 ########### ########## Tcl recorder starts at 10/06/16 21:26:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:26:32 ########### ########## Tcl recorder starts at 10/06/16 21:28:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:28:04 ########### ########## Tcl recorder starts at 10/06/16 21:28:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:28:04 ########### ########## Tcl recorder starts at 10/06/16 21:29:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:29:26 ########### ########## Tcl recorder starts at 10/06/16 21:29:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:29:26 ########### ########## Tcl recorder starts at 10/06/16 21:30:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:30:32 ########### ########## Tcl recorder starts at 10/06/16 21:30:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:30:32 ########### ########## Tcl recorder starts at 10/06/16 21:34:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:34:26 ########### ########## Tcl recorder starts at 10/06/16 21:34:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:34:26 ########### ########## Tcl recorder starts at 10/06/16 21:37:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:37:44 ########### ########## Tcl recorder starts at 10/06/16 21:37:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:37:44 ########### ########## Tcl recorder starts at 10/06/16 21:39:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:39:08 ########### ########## Tcl recorder starts at 10/06/16 21:39:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:39:09 ########### ########## Tcl recorder starts at 10/06/16 21:40:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:40:34 ########### ########## Tcl recorder starts at 10/06/16 21:40:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:40:34 ########### ########## Tcl recorder starts at 10/06/16 21:41:18 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:41:18 ########### ########## Tcl recorder starts at 10/06/16 21:41:18 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:41:18 ########### ########## Tcl recorder starts at 10/06/16 21:42:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:42:41 ########### ########## Tcl recorder starts at 10/06/16 21:42:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:42:41 ########### ########## Tcl recorder starts at 10/06/16 21:44:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:44:14 ########### ########## Tcl recorder starts at 10/06/16 21:44:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:44:15 ########### ########## Tcl recorder starts at 10/06/16 21:46:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:46:47 ########### ########## Tcl recorder starts at 10/06/16 21:46:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:46:47 ########### ########## Tcl recorder starts at 10/06/16 21:52:03 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:52:03 ########### ########## Tcl recorder starts at 10/06/16 21:52:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 21:52:03 ########### ########## Tcl recorder starts at 10/06/16 22:03:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 22:03:42 ########### ########## Tcl recorder starts at 10/06/16 22:03:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/06/16 22:03:43 ########### ########## Tcl recorder starts at 10/07/16 16:25:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 16:25:31 ########### ########## Tcl recorder starts at 10/07/16 16:25:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 16:25:31 ########### ########## Tcl recorder starts at 10/07/16 16:37:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 16:37:52 ########### ########## Tcl recorder starts at 10/07/16 16:37:52 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 16:37:52 ########### ########## Tcl recorder starts at 10/07/16 17:04:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:04:15 ########### ########## Tcl recorder starts at 10/07/16 17:04:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:04:16 ########### ########## Tcl recorder starts at 10/07/16 17:05:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:05:16 ########### ########## Tcl recorder starts at 10/07/16 17:05:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:05:16 ########### ########## Tcl recorder starts at 10/07/16 17:05:51 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:05:51 ########### ########## Tcl recorder starts at 10/07/16 17:06:56 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:06:56 ########### ########## Tcl recorder starts at 10/07/16 17:07:30 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:07:30 ########### ########## Tcl recorder starts at 10/07/16 17:08:01 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 17:08:01 ########### ########## Tcl recorder starts at 10/07/16 21:29:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:29:11 ########### ########## Tcl recorder starts at 10/07/16 21:29:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:29:12 ########### ########## Tcl recorder starts at 10/07/16 21:55:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:55:09 ########### ########## Tcl recorder starts at 10/07/16 21:55:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:55:09 ########### ########## Tcl recorder starts at 10/07/16 21:55:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:55:59 ########### ########## Tcl recorder starts at 10/07/16 21:57:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:57:31 ########### ########## Tcl recorder starts at 10/07/16 21:57:31 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 21:57:31 ########### ########## Tcl recorder starts at 10/07/16 22:01:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 22:01:26 ########### ########## Tcl recorder starts at 10/07/16 22:01:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 22:01:26 ########### ########## Tcl recorder starts at 10/07/16 22:04:30 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 22:04:30 ########### ########## Tcl recorder starts at 10/07/16 22:04:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 22:04:30 ########### ########## Tcl recorder starts at 10/07/16 23:20:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:20:01 ########### ########## Tcl recorder starts at 10/07/16 23:20:02 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:20:02 ########### ########## Tcl recorder starts at 10/07/16 23:21:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:21:17 ########### ########## Tcl recorder starts at 10/07/16 23:21:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:21:17 ########### ########## Tcl recorder starts at 10/07/16 23:24:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:24:50 ########### ########## Tcl recorder starts at 10/07/16 23:24:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/07/16 23:24:50 ########### ########## Tcl recorder starts at 10/08/16 19:45:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:45:07 ########### ########## Tcl recorder starts at 10/08/16 19:45:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:45:08 ########### ########## Tcl recorder starts at 10/08/16 19:45:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:45:43 ########### ########## Tcl recorder starts at 10/08/16 19:47:01 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:47:01 ########### ########## Tcl recorder starts at 10/08/16 19:47:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:47:01 ########### ########## Tcl recorder starts at 10/08/16 19:48:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:48:20 ########### ########## Tcl recorder starts at 10/08/16 19:48:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:48:20 ########### ########## Tcl recorder starts at 10/08/16 19:52:13 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:52:13 ########### ########## Tcl recorder starts at 10/08/16 19:52:13 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 19:52:13 ########### ########## Tcl recorder starts at 10/08/16 22:25:45 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 22:25:45 ########### ########## Tcl recorder starts at 10/08/16 22:26:03 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/08/16 22:26:03 ########### ########## Tcl recorder starts at 10/10/16 23:34:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:34:19 ########### ########## Tcl recorder starts at 10/10/16 23:34:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:34:19 ########### ########## Tcl recorder starts at 10/10/16 23:35:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:35:07 ########### ########## Tcl recorder starts at 10/10/16 23:35:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:35:07 ########### ########## Tcl recorder starts at 10/10/16 23:37:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:37:17 ########### ########## Tcl recorder starts at 10/10/16 23:37:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:37:17 ########### ########## Tcl recorder starts at 10/10/16 23:37:50 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:37:50 ########### ########## Tcl recorder starts at 10/10/16 23:37:50 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:37:50 ########### ########## Tcl recorder starts at 10/10/16 23:41:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:41:19 ########### ########## Tcl recorder starts at 10/10/16 23:41:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:41:19 ########### ########## Tcl recorder starts at 10/10/16 23:46:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:46:09 ########### ########## Tcl recorder starts at 10/10/16 23:46:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:46:09 ########### ########## Tcl recorder starts at 10/10/16 23:48:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:48:40 ########### ########## Tcl recorder starts at 10/10/16 23:48:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:48:41 ########### ########## Tcl recorder starts at 10/10/16 23:50:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:50:57 ########### ########## Tcl recorder starts at 10/10/16 23:50:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:50:57 ########### ########## Tcl recorder starts at 10/10/16 23:52:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:52:07 ########### ########## Tcl recorder starts at 10/10/16 23:52:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:52:07 ########### ########## Tcl recorder starts at 10/10/16 23:53:12 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:53:12 ########### ########## Tcl recorder starts at 10/10/16 23:53:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/10/16 23:53:12 ########### ########## Tcl recorder starts at 10/14/16 21:58:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/14/16 21:58:44 ########### ########## Tcl recorder starts at 10/14/16 21:58:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/14/16 21:58:45 ########### ########## Tcl recorder starts at 10/15/16 23:24:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/15/16 23:24:46 ########### ########## Tcl recorder starts at 10/15/16 23:24:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/15/16 23:24:46 ########### ########## Tcl recorder starts at 10/15/16 23:47:55 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/15/16 23:47:55 ########### ########## Tcl recorder starts at 10/15/16 23:47:55 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/15/16 23:47:55 ########### ########## Tcl recorder starts at 10/26/16 21:16:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 21:16:44 ########### ########## Tcl recorder starts at 10/26/16 21:16:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 21:16:44 ########### ########## Tcl recorder starts at 10/26/16 22:25:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 22:25:56 ########### ########## Tcl recorder starts at 10/26/16 22:25:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 22:25:56 ########### ########## Tcl recorder starts at 10/26/16 23:09:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 23:09:11 ########### ########## Tcl recorder starts at 10/26/16 23:09:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/26/16 23:09:11 ########### ########## Tcl recorder starts at 10/28/16 22:45:57 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 22:45:57 ########### ########## Tcl recorder starts at 10/28/16 22:45:57 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 22:45:57 ########### ########## Tcl recorder starts at 10/28/16 22:51:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 22:51:43 ########### ########## Tcl recorder starts at 10/28/16 22:51:44 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 22:51:44 ########### ########## Tcl recorder starts at 10/28/16 23:01:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:01:17 ########### ########## Tcl recorder starts at 10/28/16 23:01:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:01:17 ########### ########## Tcl recorder starts at 10/28/16 23:02:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:02:46 ########### ########## Tcl recorder starts at 10/28/16 23:02:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:02:46 ########### ########## Tcl recorder starts at 10/28/16 23:20:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:20:15 ########### ########## Tcl recorder starts at 10/28/16 23:20:15 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:20:15 ########### ########## Tcl recorder starts at 10/28/16 23:21:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:21:35 ########### ########## Tcl recorder starts at 10/28/16 23:21:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 10/28/16 23:21:35 ########### ########## Tcl recorder starts at 11/12/16 23:06:24 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:06:24 ########### ########## Tcl recorder starts at 11/12/16 23:06:24 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:06:24 ########### ########## Tcl recorder starts at 11/12/16 23:08:02 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:08:02 ########### ########## Tcl recorder starts at 11/12/16 23:08:03 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:08:03 ########### ########## Tcl recorder starts at 11/12/16 23:11:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:11:20 ########### ########## Tcl recorder starts at 11/12/16 23:11:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:11:20 ########### ########## Tcl recorder starts at 11/12/16 23:12:45 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:12:45 ########### ########## Tcl recorder starts at 11/12/16 23:12:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:12:45 ########### ########## Tcl recorder starts at 11/12/16 23:33:58 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:33:58 ########### ########## Tcl recorder starts at 11/12/16 23:33:58 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:33:59 ########### ########## Tcl recorder starts at 11/12/16 23:35:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:35:19 ########### ########## Tcl recorder starts at 11/12/16 23:35:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:35:19 ########### ########## Tcl recorder starts at 11/12/16 23:37:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:37:28 ########### ########## Tcl recorder starts at 11/12/16 23:37:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:37:29 ########### ########## Tcl recorder starts at 11/12/16 23:39:20 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:39:20 ########### ########## Tcl recorder starts at 11/12/16 23:39:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:39:20 ########### ########## Tcl recorder starts at 11/12/16 23:41:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:41:29 ########### ########## Tcl recorder starts at 11/12/16 23:41:29 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:41:30 ########### ########## Tcl recorder starts at 11/12/16 23:43:42 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:43:42 ########### ########## Tcl recorder starts at 11/12/16 23:43:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:43:42 ########### ########## Tcl recorder starts at 11/12/16 23:45:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:45:26 ########### ########## Tcl recorder starts at 11/12/16 23:45:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:45:27 ########### ########## Tcl recorder starts at 11/12/16 23:46:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:46:04 ########### ########## Tcl recorder starts at 11/12/16 23:46:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:46:16 ########### ########## Tcl recorder starts at 11/12/16 23:48:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:48:00 ########### ########## Tcl recorder starts at 11/12/16 23:48:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:48:00 ########### ########## Tcl recorder starts at 11/12/16 23:49:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:49:59 ########### ########## Tcl recorder starts at 11/12/16 23:49:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:49:59 ########### ########## Tcl recorder starts at 11/12/16 23:51:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:51:56 ########### ########## Tcl recorder starts at 11/12/16 23:51:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:51:56 ########### ########## Tcl recorder starts at 11/12/16 23:53:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:53:05 ########### ########## Tcl recorder starts at 11/12/16 23:53:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:53:05 ########### ########## Tcl recorder starts at 11/12/16 23:55:46 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:55:46 ########### ########## Tcl recorder starts at 11/12/16 23:55:46 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:55:46 ########### ########## Tcl recorder starts at 11/12/16 23:56:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:56:04 ########### ########## Tcl recorder starts at 11/12/16 23:56:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:56:05 ########### ########## Tcl recorder starts at 11/12/16 23:59:37 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:59:37 ########### ########## Tcl recorder starts at 11/12/16 23:59:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/12/16 23:59:38 ########### ########## Tcl recorder starts at 11/13/16 00:00:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:00:49 ########### ########## Tcl recorder starts at 11/13/16 00:00:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:00:49 ########### ########## Tcl recorder starts at 11/13/16 00:01:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:01:16 ########### ########## Tcl recorder starts at 11/13/16 00:01:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:01:16 ########### ########## Tcl recorder starts at 11/13/16 00:02:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:02:59 ########### ########## Tcl recorder starts at 11/13/16 00:02:59 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:02:59 ########### ########## Tcl recorder starts at 11/13/16 00:04:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:04:04 ########### ########## Tcl recorder starts at 11/13/16 00:04:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 00:04:04 ########### ########## Tcl recorder starts at 11/13/16 12:42:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 12:42:34 ########### ########## Tcl recorder starts at 11/13/16 12:42:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 12:42:35 ########### ########## Tcl recorder starts at 11/13/16 12:59:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 12:59:34 ########### ########## Tcl recorder starts at 11/13/16 12:59:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 12:59:34 ########### ########## Tcl recorder starts at 11/13/16 13:06:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 13:06:26 ########### ########## Tcl recorder starts at 11/13/16 13:06:26 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 13:06:26 ########### ########## Tcl recorder starts at 11/13/16 13:08:56 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 13:08:56 ########### ########## Tcl recorder starts at 11/13/16 13:08:56 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/13/16 13:08:56 ########### ########## Tcl recorder starts at 11/14/16 18:19:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:19:11 ########### ########## Tcl recorder starts at 11/14/16 18:19:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:19:11 ########### ########## Tcl recorder starts at 11/14/16 18:29:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:29:15 ########### ########## Tcl recorder starts at 11/14/16 18:29:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:29:16 ########### ########## Tcl recorder starts at 11/14/16 18:34:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:34:23 ########### ########## Tcl recorder starts at 11/14/16 18:34:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:34:23 ########### ########## Tcl recorder starts at 11/14/16 18:38:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:38:00 ########### ########## Tcl recorder starts at 11/14/16 18:38:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:38:00 ########### ########## Tcl recorder starts at 11/14/16 18:46:23 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:46:23 ########### ########## Tcl recorder starts at 11/14/16 18:46:23 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:46:23 ########### ########## Tcl recorder starts at 11/14/16 18:48:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:48:41 ########### ########## Tcl recorder starts at 11/14/16 18:48:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:48:41 ########### ########## Tcl recorder starts at 11/14/16 18:49:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:49:07 ########### ########## Tcl recorder starts at 11/14/16 18:49:07 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:49:07 ########### ########## Tcl recorder starts at 11/14/16 18:53:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:53:39 ########### ########## Tcl recorder starts at 11/14/16 18:53:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 18:53:39 ########### ########## Tcl recorder starts at 11/14/16 19:47:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:47:53 ########### ########## Tcl recorder starts at 11/14/16 19:47:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:47:53 ########### ########## Tcl recorder starts at 11/14/16 19:49:48 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:49:48 ########### ########## Tcl recorder starts at 11/14/16 19:49:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:49:48 ########### ########## Tcl recorder starts at 11/14/16 19:50:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:50:48 ########### ########## Tcl recorder starts at 11/14/16 19:59:29 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:59:29 ########### ########## Tcl recorder starts at 11/14/16 19:59:30 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:59:30 ########### ########## Tcl recorder starts at 11/14/16 19:59:53 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:59:53 ########### ########## Tcl recorder starts at 11/14/16 19:59:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 19:59:53 ########### ########## Tcl recorder starts at 11/14/16 21:40:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:40:40 ########### ########## Tcl recorder starts at 11/14/16 21:40:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:40:40 ########### ########## Tcl recorder starts at 11/14/16 21:43:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:43:19 ########### ########## Tcl recorder starts at 11/14/16 21:43:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:43:20 ########### ########## Tcl recorder starts at 11/14/16 21:52:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:52:38 ########### ########## Tcl recorder starts at 11/14/16 21:52:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:52:39 ########### ########## Tcl recorder starts at 11/14/16 21:56:28 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:56:28 ########### ########## Tcl recorder starts at 11/14/16 21:56:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:56:28 ########### ########## Tcl recorder starts at 11/14/16 21:59:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:59:05 ########### ########## Tcl recorder starts at 11/14/16 21:59:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 21:59:05 ########### ########## Tcl recorder starts at 11/14/16 22:05:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:05:40 ########### ########## Tcl recorder starts at 11/14/16 22:05:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:05:40 ########### ########## Tcl recorder starts at 11/14/16 22:52:35 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:52:35 ########### ########## Tcl recorder starts at 11/14/16 22:52:35 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:52:35 ########### ########## Tcl recorder starts at 11/14/16 22:57:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:57:11 ########### ########## Tcl recorder starts at 11/14/16 22:57:12 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/14/16 22:57:12 ########### ########## Tcl recorder starts at 11/18/16 19:32:21 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/18/16 19:32:21 ########### ########## Tcl recorder starts at 11/18/16 19:32:22 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 11/18/16 19:32:22 ########### ########## Tcl recorder starts at 12/08/16 21:23:14 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/08/16 21:23:14 ########### ########## Tcl recorder starts at 12/08/16 21:23:14 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/08/16 21:23:14 ########### ########## Tcl recorder starts at 12/08/16 21:50:43 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/08/16 21:50:43 ########### ########## Tcl recorder starts at 12/08/16 21:50:43 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/08/16 21:50:43 ########### ########## Tcl recorder starts at 12/29/16 15:51:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:51:49 ########### ########## Tcl recorder starts at 12/29/16 15:51:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:51:49 ########### ########## Tcl recorder starts at 12/29/16 15:59:07 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:59:07 ########### ########## Tcl recorder starts at 12/29/16 15:59:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:59:08 ########### ########## Tcl recorder starts at 12/29/16 15:59:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:59:47 ########### ########## Tcl recorder starts at 12/29/16 15:59:47 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 15:59:47 ########### ########## Tcl recorder starts at 12/29/16 16:00:49 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 16:00:49 ########### ########## Tcl recorder starts at 12/29/16 16:00:49 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 16:00:49 ########### ########## Tcl recorder starts at 12/29/16 16:01:41 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 16:01:41 ########### ########## Tcl recorder starts at 12/29/16 16:01:42 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/16 16:01:42 ########### ########## Tcl recorder starts at 01/29/17 21:01:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:01:08 ########### ########## Tcl recorder starts at 01/29/17 21:01:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:01:09 ########### ########## Tcl recorder starts at 01/29/17 21:03:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:03:34 ########### ########## Tcl recorder starts at 01/29/17 21:03:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:03:34 ########### ########## Tcl recorder starts at 01/29/17 21:04:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:04:04 ########### ########## Tcl recorder starts at 01/29/17 21:04:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/29/17 21:04:04 ########### ########## Tcl recorder starts at 01/30/17 20:23:32 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:23:32 ########### ########## Tcl recorder starts at 01/30/17 20:23:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:23:33 ########### ########## Tcl recorder starts at 01/30/17 20:25:34 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:25:34 ########### ########## Tcl recorder starts at 01/30/17 20:25:34 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:25:34 ########### ########## Tcl recorder starts at 01/30/17 20:27:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:27:05 ########### ########## Tcl recorder starts at 01/30/17 20:27:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:27:05 ########### ########## Tcl recorder starts at 01/30/17 20:33:05 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:33:05 ########### ########## Tcl recorder starts at 01/30/17 20:33:05 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:33:05 ########### ########## Tcl recorder starts at 01/30/17 20:38:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:38:04 ########### ########## Tcl recorder starts at 01/30/17 20:38:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:38:04 ########### ########## Tcl recorder starts at 01/30/17 20:42:38 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:42:38 ########### ########## Tcl recorder starts at 01/30/17 20:42:38 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:42:38 ########### ########## Tcl recorder starts at 01/30/17 20:44:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:44:19 ########### ########## Tcl recorder starts at 01/30/17 20:44:19 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:44:19 ########### ########## Tcl recorder starts at 01/30/17 20:44:51 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:44:51 ########### ########## Tcl recorder starts at 01/30/17 20:44:51 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:44:51 ########### ########## Tcl recorder starts at 01/30/17 20:46:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:46:27 ########### ########## Tcl recorder starts at 01/30/17 20:46:28 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:46:28 ########### ########## Tcl recorder starts at 01/30/17 20:47:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:47:09 ########### ########## Tcl recorder starts at 01/30/17 20:47:09 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:47:09 ########### ########## Tcl recorder starts at 01/30/17 20:50:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:50:39 ########### ########## Tcl recorder starts at 01/30/17 20:50:40 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 01/30/17 20:50:40 ########### ########## Tcl recorder starts at 02/15/17 23:13:59 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/15/17 23:13:59 ########### ########## Tcl recorder starts at 02/15/17 23:14:00 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/15/17 23:14:00 ########### ########## Tcl recorder starts at 02/16/17 19:11:39 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:11:39 ########### ########## Tcl recorder starts at 02/16/17 19:12:43 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:12:43 ########### ########## Tcl recorder starts at 02/16/17 19:12:53 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:12:53 ########### ########## Tcl recorder starts at 02/16/17 19:13:23 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:13:23 ########### ########## Tcl recorder starts at 02/16/17 19:13:27 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:13:27 ########### ########## Tcl recorder starts at 02/16/17 19:14:30 ########## # Commands to make the Process: # Optimization Constraint # - none - # Application to view the Process: # Optimization Constraint if [catch {open opt_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file opt_cmd.rs2: $rspFile" } else { puts $rspFile "-global -lci 68030_tk.lct -touch 68030_tk.imp " close $rspFile } if [runCmd "\"$cpld_bin/optedit\" @opt_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:14:30 ########### ########## Tcl recorder starts at 02/16/17 19:14:40 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:14:40 ########### ########## Tcl recorder starts at 02/16/17 19:15:27 ########## # Commands to make the Process: # JEDEC File if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:15:27 ########### ########## Tcl recorder starts at 02/16/17 19:15:34 ########## # Commands to make the Process: # Constraint Editor # - none - # Application to view the Process: # Constraint Editor if [catch {open lattice_cmd.rs2 w} rspFile] { puts stderr "Cannot create response file lattice_cmd.rs2: $rspFile" } else { puts $rspFile "-src 68030_tk.tt4 -type PLA -devfile \"$install_dir/ispcpld/dat/mach4a/mach447ace.dev\" -lci \"68030_tk.lct\" -touch \"68030_tk.tt4\" " close $rspFile } if [runCmd "\"$cpld_bin/lciedit\" @lattice_cmd.rs2"] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 19:15:34 ########### ########## Tcl recorder starts at 02/16/17 20:07:33 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 20:07:33 ########### ########## Tcl recorder starts at 02/16/17 20:07:33 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/16/17 20:07:33 ########### ########## Tcl recorder starts at 02/24/17 20:04:00 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:04:00 ########### ########## Tcl recorder starts at 02/24/17 20:04:01 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:04:01 ########### ########## Tcl recorder starts at 02/24/17 20:05:52 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:05:52 ########### ########## Tcl recorder starts at 02/24/17 20:05:53 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:05:53 ########### ########## Tcl recorder starts at 02/24/17 20:15:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:15:44 ########### ########## Tcl recorder starts at 02/24/17 20:15:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:15:45 ########### ########## Tcl recorder starts at 02/24/17 20:26:26 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:26:26 ########### ########## Tcl recorder starts at 02/24/17 20:26:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:26:27 ########### ########## Tcl recorder starts at 02/24/17 20:27:04 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:27:04 ########### ########## Tcl recorder starts at 02/24/17 20:27:04 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:27:04 ########### ########## Tcl recorder starts at 02/24/17 20:36:36 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:36:36 ########### ########## Tcl recorder starts at 02/24/17 20:44:47 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:44:47 ########### ########## Tcl recorder starts at 02/24/17 20:44:48 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:44:48 ########### ########## Tcl recorder starts at 02/24/17 20:48:27 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:48:27 ########### ########## Tcl recorder starts at 02/24/17 20:48:27 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:48:27 ########### ########## Tcl recorder starts at 02/24/17 20:57:39 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:57:39 ########### ########## Tcl recorder starts at 02/24/17 20:57:39 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:57:39 ########### ########## Tcl recorder starts at 02/24/17 20:59:40 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:59:40 ########### ########## Tcl recorder starts at 02/24/17 20:59:41 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 20:59:41 ########### ########## Tcl recorder starts at 02/24/17 21:00:11 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 21:00:11 ########### ########## Tcl recorder starts at 02/24/17 21:00:11 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 02/24/17 21:00:11 ########### ########## Tcl recorder starts at 12/29/17 22:04:15 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:04:15 ########### ########## Tcl recorder starts at 12/29/17 22:04:16 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:04:16 ########### ########## Tcl recorder starts at 12/29/17 22:14:09 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:14:09 ########### ########## Tcl recorder starts at 12/29/17 22:14:10 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:14:10 ########### ########## Tcl recorder starts at 12/29/17 22:34:16 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:34:16 ########### ########## Tcl recorder starts at 12/29/17 22:34:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:34:17 ########### ########## Tcl recorder starts at 12/29/17 22:35:31 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:35:31 ########### ########## Tcl recorder starts at 12/29/17 22:35:32 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:35:32 ########### ########## Tcl recorder starts at 12/29/17 22:37:17 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:37:17 ########### ########## Tcl recorder starts at 12/29/17 22:37:17 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:37:17 ########### ########## Tcl recorder starts at 12/29/17 22:43:44 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:43:44 ########### ########## Tcl recorder starts at 12/29/17 22:43:45 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:43:45 ########### ########## Tcl recorder starts at 12/29/17 22:48:08 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:48:08 ########### ########## Tcl recorder starts at 12/29/17 22:48:08 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/29/17 22:48:08 ########### ########## Tcl recorder starts at 12/30/17 00:43:19 ########## # Commands to make the Process: # Hierarchy if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/30/17 00:43:19 ########### ########## Tcl recorder starts at 12/30/17 00:43:20 ########## # Commands to make the Process: # JEDEC File if [catch {open BUS68030.cmd w} rspFile] { puts stderr "Cannot create response file BUS68030.cmd: $rspFile" } else { puts $rspFile "STYFILENAME: 68030_tk.sty PROJECT: BUS68030 WORKING_PATH: \"$proj_dir\" MODULE: BUS68030 VHDL_FILE_LIST: 68030-68000-bus.vhd OUTPUT_FILE_NAME: BUS68030 SUFFIX_NAME: edi PART: M4A5-128/64-10VC " close $rspFile } if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { return } else { vwait done if [checkResult $done] { return } } file delete BUS68030.cmd if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { return } else { vwait done if [checkResult $done] { return } } if [catch {open 68030_tk.rsp w} rspFile] { puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" } else { puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" " close $rspFile } if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { return } else { vwait done if [checkResult $done] { return } } file delete 68030_tk.rsp if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { return } else { vwait done if [checkResult $done] { return } } if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { return } else { vwait done if [checkResult $done] { return } } ########## Tcl recorder end at 12/30/17 00:43:20 ###########